首页 > 最新文献

2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems最新文献

英文 中文
A symbolic RTL synthesis for LUT-based FPGAs 基于lut的fpga的符号RTL合成
S. Deniziak, M. Wisniewski
In this paper a methodology of symbolic RTL synthesis, for circuits implemented in FPGA devices, is presented. First, symbolic functions are separated from binary and arithmetic ones. Next, the multi-valued logic network is optimized using our methods of symbolic functional decomposition, designed for functions with multi-valued inputs and multi-valued outputs. Finally, the whole circuit is implemented in FPGA device using commercially available tools. The goal of the presented methodology is to minimize the total FPGA area. Presented example showed that our methodology gives better results than existing RTL synthesis tools.
本文提出了一种用于FPGA器件实现电路的符号RTL合成方法。首先,符号函数与二进制和算术函数分离。接下来,使用我们的符号函数分解方法对多值逻辑网络进行优化,该方法针对具有多值输入和多值输出的函数设计。最后,利用市售工具在FPGA器件上实现了整个电路。提出的方法的目标是最小化FPGA的总面积。实例表明,我们的方法比现有的RTL合成工具具有更好的效果。
{"title":"A symbolic RTL synthesis for LUT-based FPGAs","authors":"S. Deniziak, M. Wisniewski","doi":"10.1109/DDECS.2009.5012107","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012107","url":null,"abstract":"In this paper a methodology of symbolic RTL synthesis, for circuits implemented in FPGA devices, is presented. First, symbolic functions are separated from binary and arithmetic ones. Next, the multi-valued logic network is optimized using our methods of symbolic functional decomposition, designed for functions with multi-valued inputs and multi-valued outputs. Finally, the whole circuit is implemented in FPGA device using commercially available tools. The goal of the presented methodology is to minimize the total FPGA area. Presented example showed that our methodology gives better results than existing RTL synthesis tools.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81741697","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A fast untestability proof for SAT-based ATPG 基于sat的ATPG的快速不可测性证明
Daniel Tille, R. Drechsler
Automatic Test Pattern Generation (ATPG) based on Boolean satisfiability (SAT) has been shown to be a beneficial complement to traditional ATPG techniques. SAT solvers work on instances given in Conjunctive Normal Form (CNF). The required transformation of the ATPG problem into CNF is one main part of SAT-based ATPG and needs a significant portion of the overall run time. Solving the SAT instance is the other main part. Here, the time needed is often negligible - especially for easy-to-classify untestable faults. This paper presents a preprocessing technique that speeds up the classification of untestable faults by accelerating the SAT instance generation. This increases the robustness of the entire ATPG process. The efficiency of the proposed method is shown by experiments on large industrial designs.
基于布尔可满足性(SAT)的自动测试模式生成(ATPG)已被证明是传统测试模式生成技术的有益补充。SAT求解器在合取范式(CNF)给出的实例上工作。将ATPG问题转换为CNF是基于sat的ATPG的一个主要部分,并且需要占用总体运行时间的很大一部分。解决SAT实例是另一个主要部分。在这里,所需的时间通常可以忽略不计—特别是对于易于分类的不可测试的错误。本文提出了一种预处理技术,通过加速SAT实例的生成来加快不可测试故障的分类速度。这增加了整个ATPG过程的稳健性。大型工业设计的实验证明了该方法的有效性。
{"title":"A fast untestability proof for SAT-based ATPG","authors":"Daniel Tille, R. Drechsler","doi":"10.1109/DDECS.2009.5012096","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012096","url":null,"abstract":"Automatic Test Pattern Generation (ATPG) based on Boolean satisfiability (SAT) has been shown to be a beneficial complement to traditional ATPG techniques. SAT solvers work on instances given in Conjunctive Normal Form (CNF). The required transformation of the ATPG problem into CNF is one main part of SAT-based ATPG and needs a significant portion of the overall run time. Solving the SAT instance is the other main part. Here, the time needed is often negligible - especially for easy-to-classify untestable faults. This paper presents a preprocessing technique that speeds up the classification of untestable faults by accelerating the SAT instance generation. This increases the robustness of the entire ATPG process. The efficiency of the proposed method is shown by experiments on large industrial designs.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73617661","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Fast congestion-aware timing-driven placement for island FPGA 岛式FPGA的快速拥塞感知时序驱动布局
Jinpeng Zhao, Qiang Zhou, Yici Cai
A new fast timing-driven placement is presented in this paper, which is partitioning-based method, explicitly considering the congestion for island style FPGAs. The most distinct feature of this approach is that it not only reduces the circuit critical path delay efficiently, but also takes congestion into account. The harmony between partitioning objective and timing improvement goal is kept; moreover, the congestion constraint is added to cost function to improve routability in the meantime. As a result, it avoids the excessive usage of local routing resources while remaining circuit performance much better. The experimental results show our method, FCTP, is very fast. It is able to produce solutions with equal or better routability and up to average 8.19% improvement on performance but only less 1/3 average runtime compared to TVPR [1]. It also achieves much better results than PPFF [7] in terms of timing and congestion with negligible runtime penalty.
本文提出了一种基于分区的快速定时驱动布局方法,明确考虑了岛式fpga的拥塞问题。该方法最大的特点是不仅有效地降低了电路关键路径延迟,而且考虑了拥塞问题。分区目标与时序改进目标保持协调;同时在代价函数中加入拥塞约束,提高了可达性。这样既避免了对本地路由资源的过度使用,又保持了较好的电路性能。实验结果表明,我们的方法FCTP速度非常快。与TVPR相比,它能够产生具有相同或更好的可达性的解决方案,性能平均提高8.19%,但平均运行时间仅少于1/3[1]。在时间和拥塞方面,它也比PPFF[7]取得了更好的结果,而运行时的损失可以忽略不计。
{"title":"Fast congestion-aware timing-driven placement for island FPGA","authors":"Jinpeng Zhao, Qiang Zhou, Yici Cai","doi":"10.1109/DDECS.2009.5012092","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012092","url":null,"abstract":"A new fast timing-driven placement is presented in this paper, which is partitioning-based method, explicitly considering the congestion for island style FPGAs. The most distinct feature of this approach is that it not only reduces the circuit critical path delay efficiently, but also takes congestion into account. The harmony between partitioning objective and timing improvement goal is kept; moreover, the congestion constraint is added to cost function to improve routability in the meantime. As a result, it avoids the excessive usage of local routing resources while remaining circuit performance much better. The experimental results show our method, FCTP, is very fast. It is able to produce solutions with equal or better routability and up to average 8.19% improvement on performance but only less 1/3 average runtime compared to TVPR [1]. It also achieves much better results than PPFF [7] in terms of timing and congestion with negligible runtime penalty.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88826188","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
All digital baseband 50 Mbps data recovery using 5× oversampling with 0.9 data unit interval clock jitter tolerance 全数字基带50 Mbps数据恢复使用5倍过采样与0.9数据单位间隔时钟抖动容限
Sanad Bushnaq, T. Nakura, M. Ikeda, K. Asada
In this paper, an all digital baseband data recovery algorithm using oversampling technique is presented. Our algorithm uses 5× clock to sample incoming data once around the middle. Sampling occurs exactly on the third clock after a data edge. If no data edge sensed, sampling occurs after five clocks from the previous sample. The system is designed to receive 50 Mbps data bit rate and uses a 250 MHz local clock to do the oversampling process. After injecting the clock with jitter at various magnitudes and frequencies, the design showed around 0.9 data Unit Interval (UIdata) jitter tolerance at frequencies higher than 25 MHz, in addition to a low Bit Error Rate (BER ≪ 10−11). The setup is implemented on an Altera Stratix II GX Field Programmable Gate Array (FPGA) while Agilent 81250 parallel Bit Error Ratio Tester (parBERT) is used to measure BER using Pseudo Random Bit Sequences (PRBS). Using 0.18 µm CMOS process, the design consumes as low power as 5 µW, which makes it effective for low power applications such as wireless image sensor nodes.
本文提出了一种基于过采样技术的全数字基带数据恢复算法。我们的算法使用5倍时钟在中间采样一次传入数据。采样恰好发生在数据边缘之后的第三个时钟上。如果没有检测到数据边缘,则在前一个采样的五个时钟之后进行采样。系统被设计为接收50 Mbps的数据比特率,并使用250 MHz的本地时钟进行过采样处理。在向时钟注入不同幅度和频率的抖动后,该设计在高于25 MHz的频率下显示出约0.9的数据单位间隔(UIdata)抖动容限,此外还具有较低的误码率(BER≪10−11)。该装置在Altera Stratix II GX现场可编程门阵列(FPGA)上实现,而Agilent 81250并行误码率测试仪(parBERT)使用伪随机比特序列(PRBS)测量误码率。该设计采用0.18µm CMOS工艺,功耗低至5µW,适用于无线图像传感器节点等低功耗应用。
{"title":"All digital baseband 50 Mbps data recovery using 5× oversampling with 0.9 data unit interval clock jitter tolerance","authors":"Sanad Bushnaq, T. Nakura, M. Ikeda, K. Asada","doi":"10.1109/DDECS.2009.5012129","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012129","url":null,"abstract":"In this paper, an all digital baseband data recovery algorithm using oversampling technique is presented. Our algorithm uses 5× clock to sample incoming data once around the middle. Sampling occurs exactly on the third clock after a data edge. If no data edge sensed, sampling occurs after five clocks from the previous sample. The system is designed to receive 50 Mbps data bit rate and uses a 250 MHz local clock to do the oversampling process. After injecting the clock with jitter at various magnitudes and frequencies, the design showed around 0.9 data Unit Interval (UIdata) jitter tolerance at frequencies higher than 25 MHz, in addition to a low Bit Error Rate (BER ≪ 10−11). The setup is implemented on an Altera Stratix II GX Field Programmable Gate Array (FPGA) while Agilent 81250 parallel Bit Error Ratio Tester (parBERT) is used to measure BER using Pseudo Random Bit Sequences (PRBS). Using 0.18 µm CMOS process, the design consumes as low power as 5 µW, which makes it effective for low power applications such as wireless image sensor nodes.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87818825","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
An enhanced FPGA-based low-cost tester platform exploiting effective test data compression for SoCs 基于fpga的增强型低成本测试平台,为soc提供有效的测试数据压缩
Lyl M. Ciganda Brasca, F. Abate, P. Bernardi, M. Bruno, M. Reorda
Reducing the cost of test (in particular by reducing its duration and the cost of the required ATE) is a common goal which has largely been pursued in the past, mainly by introducing suitable on chip Design for Testability (DfT) circuitry. Today, the increasing popularity of sophisticated DfT architectures and the parallel emergence of new ATE families allow the identification of innovative solutions effectively facing that goal. In this paper we face the increasingly common situation of SoCs adopting the IEEE 1149.1 and 1500 standards for the test of the internal cores, and explore the idea of storing the test program on the tester in a compressed form, and decompressing it on-the-fly during test application.
降低测试成本(特别是通过减少测试持续时间和所需ATE的成本)是过去一直在追求的共同目标,主要是通过引入合适的片上可测试性设计(DfT)电路。今天,复杂的DfT体系结构的日益普及和新的ATE系列的并行出现允许识别有效地面对这一目标的创新解决方案。本文针对采用IEEE 1149.1和1500标准的soc内部内核测试日益普遍的情况,探讨了将测试程序以压缩形式存储在测试机上,并在测试应用过程中实时解压缩的思路。
{"title":"An enhanced FPGA-based low-cost tester platform exploiting effective test data compression for SoCs","authors":"Lyl M. Ciganda Brasca, F. Abate, P. Bernardi, M. Bruno, M. Reorda","doi":"10.1109/DDECS.2009.5012141","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012141","url":null,"abstract":"Reducing the cost of test (in particular by reducing its duration and the cost of the required ATE) is a common goal which has largely been pursued in the past, mainly by introducing suitable on chip Design for Testability (DfT) circuitry. Today, the increasing popularity of sophisticated DfT architectures and the parallel emergence of new ATE families allow the identification of innovative solutions effectively facing that goal. In this paper we face the increasingly common situation of SoCs adopting the IEEE 1149.1 and 1500 standards for the test of the internal cores, and explore the idea of storing the test program on the tester in a compressed form, and decompressing it on-the-fly during test application.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91414122","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Global parametric faults identification with the use of Differential Evolution 基于差分进化的全局参数故障识别
P. Jantos, D. Grzechca, J. Rutkowski
This paper presents a novel method to a multiple parametric faults diagnosis (global parametric faults - GPF) in analogue integrated circuits (AIC). The method is based on features of AIC time domain response to voltage step excitation, i.e. AIC response and its first order derivative maxima and minima locations. A circuit states classification is acquired with the use of linear evolutionary classifier which parameters are determined with the use of Differential Evolution. Selected AIC response features distributions are approximated with geometric figures based on polynomial functions. The proposed diagnosis method has been applied for a GPF diagnosis in an exemplary integrated circuit - operational amplifier µ4741.
提出了一种模拟集成电路多参数故障诊断方法(全局参数故障- GPF)。该方法基于电压阶跃激励下AIC时域响应的特征,即AIC响应及其一阶导数的极大值和极小值位置。采用线性进化分类器对电路状态进行分类,并采用差分进化方法确定参数。选取的AIC响应特征分布用基于多项式函数的几何图形逼近。所提出的诊断方法已应用于示例性集成电路-运算放大器µ4741中的GPF诊断。
{"title":"Global parametric faults identification with the use of Differential Evolution","authors":"P. Jantos, D. Grzechca, J. Rutkowski","doi":"10.1109/DDECS.2009.5012133","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012133","url":null,"abstract":"This paper presents a novel method to a multiple parametric faults diagnosis (global parametric faults - GPF) in analogue integrated circuits (AIC). The method is based on features of AIC time domain response to voltage step excitation, i.e. AIC response and its first order derivative maxima and minima locations. A circuit states classification is acquired with the use of linear evolutionary classifier which parameters are determined with the use of Differential Evolution. Selected AIC response features distributions are approximated with geometric figures based on polynomial functions. The proposed diagnosis method has been applied for a GPF diagnosis in an exemplary integrated circuit - operational amplifier µ4741.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84793878","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Simulation and planning method for on-chip power distribution — An industry perspective 片上电源分配的仿真和规划方法-工业观点
Qing K. Zhu, Vincent Bars
Power grid's planning in the early design stage is hard, but critical for the layout area and circuit performance. Full-chip level's accurate mathematical modeling of switching currents in the power grid is an almost impossible mission, although many previous research works have been existed [6–9]. We introduce two industry methods for the power distribution planning in the early design stage, especially in the region of standard cells as well as the full chip level. Modeling details of “simplified” current sources, for the full-chip power grid simulation in the early planning, are explained for one SOC example in the paper.
设计初期的电网规划是一个难点,但对电网的布局面积和电路性能至关重要。尽管已有许多研究工作[6-9],但要实现电网中开关电流的全芯片级精确数学建模几乎是不可能完成的任务。我们介绍了两种工业上的配电规划方法,用于设计初期,特别是在标准单元区域和全芯片级别。本文以一个SOC为例,阐述了早期规划全芯片电网仿真中“简化”电流源的建模细节。
{"title":"Simulation and planning method for on-chip power distribution — An industry perspective","authors":"Qing K. Zhu, Vincent Bars","doi":"10.1109/DDECS.2009.5012122","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012122","url":null,"abstract":"Power grid's planning in the early design stage is hard, but critical for the layout area and circuit performance. Full-chip level's accurate mathematical modeling of switching currents in the power grid is an almost impossible mission, although many previous research works have been existed [6–9]. We introduce two industry methods for the power distribution planning in the early design stage, especially in the region of standard cells as well as the full chip level. Modeling details of “simplified” current sources, for the full-chip power grid simulation in the early planning, are explained for one SOC example in the paper.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83849669","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 1GHz-GBW operational amplifier for DVB-H receivers in 65nm CMOS 用于65nm CMOS的DVB-H接收机的1GHz-GBW运算放大器
H. Uhrmann, Franz Schlögl, K. Schweiger, H. Zimmermann
The offer of information grows rapidly in mobile markets. The DVB-H standard as a part of DVB-T is an important carrier of information to a broad spectrum of consumers. New, cheap and robust receivers have to be developed, especially for handheld devices. We propose a high-speed operational amplifier for a low-pass filter in a direct conversion receiver. In order to integrate the receiver on a System on Chip, it is designed in 65nm low-power CMOS. The operational amplifier is a four-stage feed-forward nested Miller compensated fully differential operational amplifier with an AB output stage. A gain-bandwidth product of 1GHz and a gain of 58dB is reached. A load capacitance of 5pF can be driven at a phase margin of 62deg.
在移动市场上,信息的提供迅速增长。DVB-H标准作为DVB-T的一部分,是向广大消费者传递信息的重要载体。必须开发新的、廉价的、坚固的接收器,尤其是手持设备。我们提出了一种用于直接转换接收机中的低通滤波器的高速运算放大器。为了将接收机集成到片上系统,采用65nm低功耗CMOS设计。运算放大器是一个四级前馈嵌套米勒补偿全差分运算放大器与AB输出级。增益带宽积为1GHz,增益为58dB。5pF的负载电容可以在62度的相位裕度下驱动。
{"title":"A 1GHz-GBW operational amplifier for DVB-H receivers in 65nm CMOS","authors":"H. Uhrmann, Franz Schlögl, K. Schweiger, H. Zimmermann","doi":"10.1109/DDECS.2009.5012124","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012124","url":null,"abstract":"The offer of information grows rapidly in mobile markets. The DVB-H standard as a part of DVB-T is an important carrier of information to a broad spectrum of consumers. New, cheap and robust receivers have to be developed, especially for handheld devices. We propose a high-speed operational amplifier for a low-pass filter in a direct conversion receiver. In order to integrate the receiver on a System on Chip, it is designed in 65nm low-power CMOS. The operational amplifier is a four-stage feed-forward nested Miller compensated fully differential operational amplifier with an AB output stage. A gain-bandwidth product of 1GHz and a gain of 58dB is reached. A load capacitance of 5pF can be driven at a phase margin of 62deg.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89951706","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
MDCT / IMDCT low power implementations in 90 nm CMOS technology for MP3 audio MDCT / IMDCT低功耗实现在90纳米CMOS技术的MP3音频
P. Malík, Michal Ufnal, A. W. Luczyk, M. Baláz, W. Pleskacz
MDCT is the basic processing component for high quality audio compression. It is also the most computationally intensive operation in the vast majority of audio compression standards. Mostly used audio standard for audio compression is still MP3. This paper presents new implementations of five MDCT / IMDCT architectures with different parallelization levels for MP3. Implementation utilize UMC 90 nm CMOS technology. Design was optimized for low power applications. Low power libraries and clock gating technique were used for power reduction. All IP cores are capable of computing forward and backward MDCT and this feature makes them universal in multimedia SoCs for accelerating the MP3 audio compression/decompression.
MDCT是实现高质量音频压缩的基本处理组件。它也是绝大多数音频压缩标准中计算量最大的操作。主要用于音频压缩的音频标准仍然是MP3。本文提出了五种不同并行化水平的MP3 MDCT / IMDCT体系结构的新实现。实现采用UMC 90纳米CMOS技术。设计针对低功耗应用进行了优化。低功耗库和时钟门控技术用于降低功耗。所有IP核都能够计算正向和向后MDCT,这一特性使它们在多媒体soc中普遍适用,以加速MP3音频的压缩/解压缩。
{"title":"MDCT / IMDCT low power implementations in 90 nm CMOS technology for MP3 audio","authors":"P. Malík, Michal Ufnal, A. W. Luczyk, M. Baláz, W. Pleskacz","doi":"10.1109/DDECS.2009.5012116","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012116","url":null,"abstract":"MDCT is the basic processing component for high quality audio compression. It is also the most computationally intensive operation in the vast majority of audio compression standards. Mostly used audio standard for audio compression is still MP3. This paper presents new implementations of five MDCT / IMDCT architectures with different parallelization levels for MP3. Implementation utilize UMC 90 nm CMOS technology. Design was optimized for low power applications. Low power libraries and clock gating technique were used for power reduction. All IP cores are capable of computing forward and backward MDCT and this feature makes them universal in multimedia SoCs for accelerating the MP3 audio compression/decompression.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77654447","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
MTPP - Modular Traffic Processing Platform MTPP——模块化流量处理平台
Jiri Halak, S. Ubik
High-speed (10 Gb/s and above) network monitoring and traffic processing requires hardware acceleration. Different applications require different functions to be placed in hardware. Current packet capture cards include fixed firmware, which is difficult to extend. In this paper we propose an architecture for Modular Traffic Processing Platform (MTPP), which enables end users to easily modify hardware processing without any FPGA development. On the other hand, developers can create new processing modules with much reduced effort thanks to simple module interfaces and isolation of module time constraints.
高速(10gb /s及以上)的网络监控和流量处理需要硬件加速。不同的应用程序需要在硬件中放置不同的功能。当前的包捕获卡包含固定固件,难以扩展。在本文中,我们提出了一种模块化流量处理平台(MTPP)的架构,它使最终用户能够轻松地修改硬件处理,而无需开发FPGA。另一方面,由于简单的模块接口和模块时间约束的隔离,开发人员可以以更少的工作量创建新的处理模块。
{"title":"MTPP - Modular Traffic Processing Platform","authors":"Jiri Halak, S. Ubik","doi":"10.1109/DDECS.2009.5012121","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012121","url":null,"abstract":"High-speed (10 Gb/s and above) network monitoring and traffic processing requires hardware acceleration. Different applications require different functions to be placed in hardware. Current packet capture cards include fixed firmware, which is difficult to extend. In this paper we propose an architecture for Modular Traffic Processing Platform (MTPP), which enables end users to easily modify hardware processing without any FPGA development. On the other hand, developers can create new processing modules with much reduced effort thanks to simple module interfaces and isolation of module time constraints.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83668270","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
期刊
2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1