首页 > 最新文献

2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems最新文献

英文 中文
Contactless characterization of MEMS devices using optical microscopy MEMS器件的光学显微镜非接触式表征
A. Timár, G. Bognár
In this paper a new approach for measuring depth values of cavities of Micro-Electro Mechanical System (MEMS) is presented. This measurement was done by using a simple optical microscope and image processing techniques. The sample need not to be treated with any foreign material such as reflective or conductive coating.
提出了一种测量微机电系统(MEMS)空腔深度值的新方法。该测量是通过使用简单的光学显微镜和图像处理技术完成的。样品不需要处理任何外来物质,如反射或导电涂层。
{"title":"Contactless characterization of MEMS devices using optical microscopy","authors":"A. Timár, G. Bognár","doi":"10.1109/DDECS.2009.5012130","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012130","url":null,"abstract":"In this paper a new approach for measuring depth values of cavities of Micro-Electro Mechanical System (MEMS) is presented. This measurement was done by using a simple optical microscope and image processing techniques. The sample need not to be treated with any foreign material such as reflective or conductive coating.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76051862","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
0.18 µm CMOS UWB LNA with new feedback configuration for optimization low noise, high gain and small area 0.18µm CMOS超宽带LNA,采用新的反馈配置,优化低噪声,高增益和小面积
Y. C. Chang, H. Kao, C. Kao, Chih-Wei Yang, J. S. Fu, N. Karmakar, Li-Chun Chang
In this paper, we present the low noise amplifier using new feedback connection configurations. The UWB LNA is design in 0.18 µm TSMC CMOS technique to achieve high gain, small size and low noise. The LNA achieved 11 dB of average power gain, low 2.87 dB noise figure (NF), −10.9 dB input match, −7 dB return loss, −3 dBm of IIP3 and only 0.54 mm2 size with 15 mW power consumption.
本文提出了一种采用新型反馈连接方式的低噪声放大器。UWB LNA采用0.18µm TSMC CMOS技术设计,实现高增益、小尺寸和低噪声。LNA的平均功率增益为11 dB,噪声系数(NF)为2.87 dB,输入匹配度为−10.9 dB,回波损耗为−7 dB, IIP3为−3 dBm,尺寸仅为0.54 mm2,功耗为15 mW。
{"title":"0.18 µm CMOS UWB LNA with new feedback configuration for optimization low noise, high gain and small area","authors":"Y. C. Chang, H. Kao, C. Kao, Chih-Wei Yang, J. S. Fu, N. Karmakar, Li-Chun Chang","doi":"10.1109/DDECS.2009.5012126","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012126","url":null,"abstract":"In this paper, we present the low noise amplifier using new feedback connection configurations. The UWB LNA is design in 0.18 µm TSMC CMOS technique to achieve high gain, small size and low noise. The LNA achieved 11 dB of average power gain, low 2.87 dB noise figure (NF), −10.9 dB input match, −7 dB return loss, −3 dBm of IIP3 and only 0.54 mm2 size with 15 mW power consumption.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86086692","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Round-level concurrent error detection applied to Advanced Encryption Standard 应用于高级加密标准的轮级并发错误检测
Flavius Opritoiu, M. Vladutiu, M. Udrescu, L. Prodan
This paper presents a hardware architecture for online self-test in the context of Advanced Encryption Standard (AES). From the available range of techniques and solutions to be considered for increasing Built In Self-Test (BIST) capabilities, for various reasons - especially due to area requirements - we focused on parity control methods. Therefore, the paper presents a general solution proposing both a basic architecture and a new project designed at the gate level that relies on parity prediction techniques. The contribution brought by our architecture consists of a complete separation between the functional and test channels. The conclusive arguments reveal the proposed architecture as a solution for area reduction; performance and power consumption are also analyzed.
提出了一种基于高级加密标准(AES)的在线自检硬件体系结构。从可用的技术和解决方案范围考虑增加内置自检(BIST)功能,由于各种原因-特别是由于区域需求-我们专注于奇偶控制方法。因此,本文提出了一个通用解决方案,提出了一个基本架构和一个在门级设计的新方案,该方案依赖于奇偶预测技术。我们的架构带来的贡献包括功能通道和测试通道之间的完全分离。结论性的论点表明,拟议的建筑是减少面积的解决方案;并对性能和功耗进行了分析。
{"title":"Round-level concurrent error detection applied to Advanced Encryption Standard","authors":"Flavius Opritoiu, M. Vladutiu, M. Udrescu, L. Prodan","doi":"10.1109/DDECS.2009.5012143","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012143","url":null,"abstract":"This paper presents a hardware architecture for online self-test in the context of Advanced Encryption Standard (AES). From the available range of techniques and solutions to be considered for increasing Built In Self-Test (BIST) capabilities, for various reasons - especially due to area requirements - we focused on parity control methods. Therefore, the paper presents a general solution proposing both a basic architecture and a new project designed at the gate level that relies on parity prediction techniques. The contribution brought by our architecture consists of a complete separation between the functional and test channels. The conclusive arguments reveal the proposed architecture as a solution for area reduction; performance and power consumption are also analyzed.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88558153","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Structural test of programmed FPGA circuits 可编程FPGA电路的结构测试
M. Rozkovec, O. Novák
We present a new concept of the test method for FPGA devices. Instead of being focused on structural test of the device, the method tests logic and interconnection resources of the FPGA, that are actually used by implemented circuit. The method is based on reconfiguration ability of nowadays FPGAs and utilizes test vectors originally created for ASIC circuits. We present an idea of circuit partitioning and a transcription scheme, that converts the FPGA netlist to the ASIC one. Preliminary results of test patterns efficiency on transformed benchmark circuits are presented.
提出了一种新的FPGA器件测试方法。该方法不是侧重于器件的结构测试,而是测试FPGA的逻辑和互连资源,这些资源实际上是被实现电路使用的。该方法基于当前fpga的可重构能力,并利用最初为ASIC电路创建的测试向量。提出了一种电路划分的思想和一种将FPGA网表转换为ASIC网表的转录方案。给出了在转换后的基准电路上测试图形效率的初步结果。
{"title":"Structural test of programmed FPGA circuits","authors":"M. Rozkovec, O. Novák","doi":"10.1109/DDECS.2009.5012114","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012114","url":null,"abstract":"We present a new concept of the test method for FPGA devices. Instead of being focused on structural test of the device, the method tests logic and interconnection resources of the FPGA, that are actually used by implemented circuit. The method is based on reconfiguration ability of nowadays FPGAs and utilizes test vectors originally created for ASIC circuits. We present an idea of circuit partitioning and a transcription scheme, that converts the FPGA netlist to the ASIC one. Preliminary results of test patterns efficiency on transformed benchmark circuits are presented.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85128899","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Measurement of power supply noise tolerance of self-timed processor 自定时处理器电源噪声容限测量
K. Asada, Takuzo Sogabe, T. Nakura, M. Ikeda
We have compared the power supply noise tolerance of a synchronous processor and a self-timed processor fabricated using 0.18µm CMOS. We have designed the self-timed processor using the same RTL as the synchronous processor, and translated it into a netlist with DCVSL circuits and completion logic trees. We have demonstrated the synchronous processor shows an error rate of 9.3% for the worst power supply noise in case of 10% timing margin design. On the other hand, the self-timed processor shows 40% speed degradation, but no error, for the same power supply noise.
我们比较了同步处理器和使用0.18µm CMOS制造的自定时处理器的电源噪声容限。我们使用与同步处理器相同的RTL设计了自定时处理器,并将其转换成带有DCVSL电路和完成逻辑树的网表。我们已经证明,在10%的时间裕度设计的情况下,同步处理器在最坏的电源噪声下显示出9.3%的错误率。另一方面,对于相同的电源噪声,自定时处理器显示出40%的速度下降,但没有误差。
{"title":"Measurement of power supply noise tolerance of self-timed processor","authors":"K. Asada, Takuzo Sogabe, T. Nakura, M. Ikeda","doi":"10.1109/DDECS.2009.5012112","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012112","url":null,"abstract":"We have compared the power supply noise tolerance of a synchronous processor and a self-timed processor fabricated using 0.18µm CMOS. We have designed the self-timed processor using the same RTL as the synchronous processor, and translated it into a netlist with DCVSL circuits and completion logic trees. We have demonstrated the synchronous processor shows an error rate of 9.3% for the worst power supply noise in case of 10% timing margin design. On the other hand, the self-timed processor shows 40% speed degradation, but no error, for the same power supply noise.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87574023","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
BIST assisted wideband digital compensation for MB-UWB transmitters BIST辅助的MB-UWB发射机宽带数字补偿
S. Devarakond, Shreyas Sen, A. Chatterjee
The recent demand in wireless standards capable of providing short-range, high-speed data transfer has accelerated the growth of the Ultra-Wide Band (UWB) standard. MB-OFDM (Multi Band Orthogonal Frequency Division Multiplexing) UWB devices suffer from frequency dependent non-idealities due to extreme wideband operation (3.1 to 10.6 GHz). Further these characteristics are subjected to process variations when implemented in nanometer technologies. In this paper we propose two BIST assisted methodologies for estimation and compensation of these effects. The proposed solutions differ in hardware vs. software tradeoffs. The improvement in the linearity of the mixer over a set of process instances and the tradeoffs involved are presented to validate the proposed methodology.
最近对能够提供短距离、高速数据传输的无线标准的需求加速了超宽带(UWB)标准的发展。MB-OFDM(多频带正交频分复用)UWB设备由于极端宽带操作(3.1至10.6 GHz)而遭受频率依赖的非理想性。此外,当在纳米技术中实现时,这些特性受到工艺变化的影响。在本文中,我们提出了两种BIST辅助的方法来估计和补偿这些影响。建议的解决方案在硬件和软件权衡方面有所不同。通过一组过程实例和所涉及的权衡,提出了混合器线性度的改进,以验证所提出的方法。
{"title":"BIST assisted wideband digital compensation for MB-UWB transmitters","authors":"S. Devarakond, Shreyas Sen, A. Chatterjee","doi":"10.1109/DDECS.2009.5012104","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012104","url":null,"abstract":"The recent demand in wireless standards capable of providing short-range, high-speed data transfer has accelerated the growth of the Ultra-Wide Band (UWB) standard. MB-OFDM (Multi Band Orthogonal Frequency Division Multiplexing) UWB devices suffer from frequency dependent non-idealities due to extreme wideband operation (3.1 to 10.6 GHz). Further these characteristics are subjected to process variations when implemented in nanometer technologies. In this paper we propose two BIST assisted methodologies for estimation and compensation of these effects. The proposed solutions differ in hardware vs. software tradeoffs. The improvement in the linearity of the mixer over a set of process instances and the tradeoffs involved are presented to validate the proposed methodology.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83400080","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Low voltage precharge CMOS logic 低压预充CMOS逻辑
Y. Berg, O. Mirmotahari
In this paper we present ultra low voltage low power CMOS logic. The low power gate may be configured or recharged to high speed or low power compared to a complementary inverter. The low power logic presented in this paper resembles precharge CMOS logic. For a low supply voltage a sleep mode configuration is presented which may reduce the power consumption to less than 0.5% of a complementary inverter. A sleep mode configuration of the low power logic is presented and a keeper function is added to increase the noise margin and reduce the static power consumption. Simulated data for a STM 90nm process using Spectre simulator provided by Cadence is included.
本文提出了一种超低电压低功耗CMOS逻辑电路。与互补逆变器相比,可将低功率门配置或充电为高速或低功率。本文提出的低功耗逻辑类似于预充电CMOS逻辑。对于低电源电压,提出了一种休眠模式配置,可将功耗降低到互补逆变器的0.5%以下。提出了一种低功耗逻辑的睡眠模式配置,并增加了保持器功能,以提高噪声裕度,降低静态功耗。采用Cadence公司提供的Spectre模拟器对STM 90nm制程进行了模拟。
{"title":"Low voltage precharge CMOS logic","authors":"Y. Berg, O. Mirmotahari","doi":"10.1109/DDECS.2009.5012115","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012115","url":null,"abstract":"In this paper we present ultra low voltage low power CMOS logic. The low power gate may be configured or recharged to high speed or low power compared to a complementary inverter. The low power logic presented in this paper resembles precharge CMOS logic. For a low supply voltage a sleep mode configuration is presented which may reduce the power consumption to less than 0.5% of a complementary inverter. A sleep mode configuration of the low power logic is presented and a keeper function is added to increase the noise margin and reduce the static power consumption. Simulated data for a STM 90nm process using Spectre simulator provided by Cadence is included.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80567165","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Investigating the linearity of MOSFET-only switched-capacitor ΔΣ modulators under low-voltage condition 研究低压条件下仅mosfet开关电容ΔΣ调制器的线性度
F. A. Parsan, A. Ayatollahi, A. Abrishamifar
Effect of asymmetric operating condition of depletion-mode MOS capacitors on the linearity of MOSFET-only switched-capacitor ΔΣ modulators is investigated. In very low-voltage switched-capacitor circuits the opamp input and output common-mode levels are separated to provide maximum overdrive voltage for MOS switches. This causes an asymmetric voltage drop on capacitors during operation. On the other hand, the depletion-mode MOS capacitors are most linear when operated under a symmetric condition. The effect of this increased nonlinearity on a ΔΣ modulator which is operated under an asymmetric condition is simulated and the results are compared with a ΔΣ modulator which is operated under a symmetric condition.
研究了耗尽型MOS电容的不对称工作条件对单mosfet开关电容ΔΣ调制器线性度的影响。在极低电压开关电容电路中,运放输入和输出共模电平是分开的,为MOS开关提供最大的超速电压。这会导致电容器在工作期间产生不对称的电压降。另一方面,当工作在对称条件下时,耗尽模式MOS电容器是线性的。模拟了这种增加的非线性对在非对称条件下工作的ΔΣ调制器的影响,并将结果与在对称条件下工作的ΔΣ调制器进行了比较。
{"title":"Investigating the linearity of MOSFET-only switched-capacitor ΔΣ modulators under low-voltage condition","authors":"F. A. Parsan, A. Ayatollahi, A. Abrishamifar","doi":"10.1109/DDECS.2009.5012089","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012089","url":null,"abstract":"Effect of asymmetric operating condition of depletion-mode MOS capacitors on the linearity of MOSFET-only switched-capacitor ΔΣ modulators is investigated. In very low-voltage switched-capacitor circuits the opamp input and output common-mode levels are separated to provide maximum overdrive voltage for MOS switches. This causes an asymmetric voltage drop on capacitors during operation. On the other hand, the depletion-mode MOS capacitors are most linear when operated under a symmetric condition. The effect of this increased nonlinearity on a ΔΣ modulator which is operated under an asymmetric condition is simulated and the results are compared with a ΔΣ modulator which is operated under a symmetric condition.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79110923","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Diagnosis of faulty units in regular graphs under the PMC model PMC模型下正则图故障单元的诊断
M. Manik, E. Gramatová
The paper presents a system-level diagnosis based on a general symmetric diagnostic model - the PMC model. In particular, the one-step diagnosis of t-diagnosable systems and its Boolean formalization extension are presented. This extension transforms a syndrome decoding process to solving Boolean expressions. New rules for the PMC model were defined with their application to regular systems. Using the rules for such systems improves the syndrome-decoding process in time consumption.
本文提出了一种基于一般对称诊断模型PMC模型的系统级诊断方法。特别地,给出了t-可诊断系统的一步诊断及其布尔形式化扩展。这个扩展将综合征解码过程转换为解决布尔表达式。定义了PMC模型的新规则,并将其应用于常规系统。将这些规则应用于这类系统,在时间消耗上提高了综合征解码过程。
{"title":"Diagnosis of faulty units in regular graphs under the PMC model","authors":"M. Manik, E. Gramatová","doi":"10.1109/DDECS.2009.5012128","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012128","url":null,"abstract":"The paper presents a system-level diagnosis based on a general symmetric diagnostic model - the PMC model. In particular, the one-step diagnosis of t-diagnosable systems and its Boolean formalization extension are presented. This extension transforms a syndrome decoding process to solving Boolean expressions. New rules for the PMC model were defined with their application to regular systems. Using the rules for such systems improves the syndrome-decoding process in time consumption.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82476374","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
A comprehensive approach for soft error tolerant Four State Logic 软容错四态逻辑的一种综合方法
W. Friesenbichler, T. Panhofer, M. Delvai
The continuing downscaling of integrated circuits makes modern devices more susceptible to soft errors. This paper investigates the possibility of using Four-State Logic (FSL) to improve the fault tolerance of digital circuits. FSL is a possible implementation of asynchronous Quasi Delay Insensitive (QDI) logic using a more efficient encoding and handshake protocol. The behavior of FSL circuits when subjected to transient faults is analyzed. We present a method based on duplication and rail cross-coupling that allows to detect as well as correct soft errors autonomously. The concept is demonstrated by fault injection experiments.
集成电路的不断缩小使得现代设备更容易受到软错误的影响。本文研究了利用四态逻辑(FSL)提高数字电路容错性的可能性。FSL是使用更有效的编码和握手协议的异步准延迟不敏感(QDI)逻辑的可能实现。分析了FSL电路在瞬态故障下的性能。我们提出了一种基于重复和轨道交叉耦合的方法,可以自动检测和纠正软误差。通过故障注入实验验证了这一概念。
{"title":"A comprehensive approach for soft error tolerant Four State Logic","authors":"W. Friesenbichler, T. Panhofer, M. Delvai","doi":"10.1109/DDECS.2009.5012131","DOIUrl":"https://doi.org/10.1109/DDECS.2009.5012131","url":null,"abstract":"The continuing downscaling of integrated circuits makes modern devices more susceptible to soft errors. This paper investigates the possibility of using Four-State Logic (FSL) to improve the fault tolerance of digital circuits. FSL is a possible implementation of asynchronous Quasi Delay Insensitive (QDI) logic using a more efficient encoding and handshake protocol. The behavior of FSL circuits when subjected to transient faults is analyzed. We present a method based on duplication and rail cross-coupling that allows to detect as well as correct soft errors autonomously. The concept is demonstrated by fault injection experiments.","PeriodicalId":6325,"journal":{"name":"2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82803464","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1