首页 > 最新文献

微纳电子与智能制造最新文献

英文 中文
FEA Calculations for Ultra Thin Piezoresistive Pressure Sensor on SOI for Heatspreader Integration 用于散热器集成的SOI超薄压阻压力传感器的有限元计算
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1643958
B. Bercu, L. Montès, P. Morfouli
The objective of this contribution is the optimization of the response of a piezoresistive pressure sensor integrated into a heat spreader for microelectronic applications. Finite element analysis (FEA) calculations are employed to simulate the sensor behavior taking into account mechanical, thermal and electrical effects. The small sensors membrane area (150times150mum2) imposed by the heat spreader geometry, requires the use of an ultra thin membrane (thickness less than 1mum) for an optimal sensitivity. SOI technology is used in order to insure a better uniformity over the wafer. A double-side oxidized membrane configuration is analyzed in order to reduce the effects of the residual stress present in the membrane
本贡献的目标是优化集成到微电子应用的热扩散器中的压阻式压力传感器的响应。采用有限元分析(FEA)计算模拟传感器的力学、热学和电学效应。小传感器膜面积(150倍150mum2)由散热器几何形状施加,需要使用超薄膜(厚度小于1mum)以获得最佳灵敏度。采用SOI技术是为了确保晶圆上的均匀性。分析了一种双面氧化膜结构,以减少膜中残余应力的影响
{"title":"FEA Calculations for Ultra Thin Piezoresistive Pressure Sensor on SOI for Heatspreader Integration","authors":"B. Bercu, L. Montès, P. Morfouli","doi":"10.1109/ESIME.2006.1643958","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1643958","url":null,"abstract":"The objective of this contribution is the optimization of the response of a piezoresistive pressure sensor integrated into a heat spreader for microelectronic applications. Finite element analysis (FEA) calculations are employed to simulate the sensor behavior taking into account mechanical, thermal and electrical effects. The small sensors membrane area (150times150mum2) imposed by the heat spreader geometry, requires the use of an ultra thin membrane (thickness less than 1mum) for an optimal sensitivity. SOI technology is used in order to insure a better uniformity over the wafer. A double-side oxidized membrane configuration is analyzed in order to reduce the effects of the residual stress present in the membrane","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80959188","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Multiphysics Softwares Benchmark on Ansys / Comsol Applied For RF MEMS Switches Packaging Simulations 基于Ansys / Comsol的多物理场软件基准测试应用于RF MEMS开关封装仿真
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1644011
D. Peyrou, P. Pons, H. Granier, D. Leray, A. Ferrand, K. Yacine, M. Saadaoui, A. Nicolas, J. Tao, R. Plana
This paper presents a study on multiphysics software reliability provided by COMSOL and ANSYS. The goal is to give an overview about the fundamentals of how to set up RF simulations, mechanical contact and residual stress through three examples chosen as the main key points in order to make in the future a highly coupled model of the RF MEMS switches packaging
本文对COMSOL和ANSYS提供的多物理场软件可靠性进行了研究。我们的目标是通过三个例子来概述如何建立RF模拟,机械接触和残余应力的基本原理,这些例子被选为主要关键点,以便在未来建立RF MEMS开关封装的高度耦合模型
{"title":"Multiphysics Softwares Benchmark on Ansys / Comsol Applied For RF MEMS Switches Packaging Simulations","authors":"D. Peyrou, P. Pons, H. Granier, D. Leray, A. Ferrand, K. Yacine, M. Saadaoui, A. Nicolas, J. Tao, R. Plana","doi":"10.1109/ESIME.2006.1644011","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1644011","url":null,"abstract":"This paper presents a study on multiphysics software reliability provided by COMSOL and ANSYS. The goal is to give an overview about the fundamentals of how to set up RF simulations, mechanical contact and residual stress through three examples chosen as the main key points in order to make in the future a highly coupled model of the RF MEMS switches packaging","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76634194","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Measuring the Strength of Brittle Microbeams Without Measuring Forces or Displacements 不测量力或位移而测量脆性微梁的强度
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1643990
A. Hirshberg, D. Elata
A new test device for measuring strength of brittle microbeams was recently proposed. This test device requires no measurement of applied forces or of deformation. In this work, the theoretical analysis that explains how the strength of microbeams may be deduced from the length of the remaining ligament of broken beams is presented
提出了一种新型的脆性微梁强度测试装置。这种测试装置不需要测量施加的力或变形。在这项工作中,理论分析解释了如何从断裂梁的剩余韧带长度推导出微梁的强度
{"title":"Measuring the Strength of Brittle Microbeams Without Measuring Forces or Displacements","authors":"A. Hirshberg, D. Elata","doi":"10.1109/ESIME.2006.1643990","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1643990","url":null,"abstract":"A new test device for measuring strength of brittle microbeams was recently proposed. This test device requires no measurement of applied forces or of deformation. In this work, the theoretical analysis that explains how the strength of microbeams may be deduced from the length of the remaining ligament of broken beams is presented","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83164266","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Analytic Model of the Deflection of Piezoelectric Unimorph and Bimorph Structures with Numerical Verification 压电单晶片和双晶片结构挠曲的解析模型及数值验证
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1643989
D. Elata, E. Elka, H. Abramovich
The constitutive equations of multi-layered piezoelectric structures are derived in a new form. In this form, the electromechanical coupling is presented as an additional stiffness matrix. This matrix is a true property of the piezoelectric structure and is independent of specific mechanical boundary conditions that may apply to the structure. A novel model of the electromechanical response of such structures is presented. This model accounts for the 3D kinematics of the structure deformation. Solution of example problems using the new model shows excellent agreement with full 3D finite element simulations. These solutions are also compared with the results of previous 2D model approximations presented in literature, and the inaccuracies associated with these previous models are discussed
以一种新的形式推导了多层压电结构的本构方程。在这种形式下,机电耦合被表示为附加刚度矩阵。该矩阵是压电结构的真实属性,与可能适用于该结构的特定力学边界条件无关。提出了一种新的结构机电响应模型。该模型考虑了结构变形的三维运动学。用新模型求解的算例与全三维有限元模拟结果吻合良好。这些解还与文献中提出的先前二维模型近似的结果进行了比较,并讨论了与这些先前模型相关的不准确性
{"title":"Analytic Model of the Deflection of Piezoelectric Unimorph and Bimorph Structures with Numerical Verification","authors":"D. Elata, E. Elka, H. Abramovich","doi":"10.1109/ESIME.2006.1643989","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1643989","url":null,"abstract":"The constitutive equations of multi-layered piezoelectric structures are derived in a new form. In this form, the electromechanical coupling is presented as an additional stiffness matrix. This matrix is a true property of the piezoelectric structure and is independent of specific mechanical boundary conditions that may apply to the structure. A novel model of the electromechanical response of such structures is presented. This model accounts for the 3D kinematics of the structure deformation. Solution of example problems using the new model shows excellent agreement with full 3D finite element simulations. These solutions are also compared with the results of previous 2D model approximations presented in literature, and the inaccuracies associated with these previous models are discussed","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73792722","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Modeling of the Fabrication and Operation of 3-D Self-Assembled SOI MEMS 三维自组装SOI MEMS的制造与运行建模
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1643957
C. Méndez, C. Louis, S. Paquay, P. De Vincenzo, I. Klapka, V. Rochus, F. Iker, N. André, J. Raskin
In this paper we present out-of-plane 3D self assembled SOI (silicon-on-insulator) MEMS that can be directly integrated to the electronic components. Because of their 3D nature, these structures can be used, for instance, as the basic elements for the construction of thermal actuators or flow sensors. We make a description of the fabrication and operation of these devices and we show how these two stages can be numerically simulated
在本文中,我们提出了可以直接集成到电子元件上的平面外三维自组装SOI(绝缘体上硅)MEMS。由于其3D特性,这些结构可以用作热致动器或流量传感器的基本元件。我们对这些装置的制造和操作进行了描述,并展示了如何对这两个阶段进行数值模拟
{"title":"Modeling of the Fabrication and Operation of 3-D Self-Assembled SOI MEMS","authors":"C. Méndez, C. Louis, S. Paquay, P. De Vincenzo, I. Klapka, V. Rochus, F. Iker, N. André, J. Raskin","doi":"10.1109/ESIME.2006.1643957","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1643957","url":null,"abstract":"In this paper we present out-of-plane 3D self assembled SOI (silicon-on-insulator) MEMS that can be directly integrated to the electronic components. Because of their 3D nature, these structures can be used, for instance, as the basic elements for the construction of thermal actuators or flow sensors. We make a description of the fabrication and operation of these devices and we show how these two stages can be numerically simulated","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80322211","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Efficient Damage Sensitivity Analysis of advanced Cu Low-k Bond Pad Structures Using Area Release Energy 基于面积释放能量的新型铜低钾键垫结构损伤灵敏度分析
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1644041
O. van der Sluis, R. Engelen, W. V. van Driel, M. van Gils, R. van Silfhout
This paper presents an efficient method to describe the damage sensitivity of three-dimensional multi-layered structures. The index that characterizes this failure sensitivity is an energy measure called the area release energy, which predicts the amount of energy that is released upon crack initiation at an arbitrary position along an interface. The benefits of the method are: (1) the criterion can be used as damage sensitivity indicator for complex three-dimensional structures; (2) the criterion is energy based, thus more accurate than stress-based criteria; (3) unlike fracture mechanics, no initial defect size and location has to be assumed a priori. For the development of state-of-the-art CMOS technologies, the integration and introduction of low-k materials are one of the major bottlenecks due to their bad thermal and mechanical integrity and the inherited week interfacial adhesion. The use of ultra low-k (ULK) materials, such as porous dielectrics, will require significant development effort in order to result in reliable interconnect structures that are able to withstand the IC, packaging and assembly related thermo-mechanical and mechanical forces. Especially the forces resulting from packaging related processes such as dicing, wire bonding, bumping and molding are critical and can easily result in cracking, delamination and chipping of the IC back-end structure if no appropriate measures are taken
本文提出了一种描述三维多层结构损伤灵敏度的有效方法。表征这种破坏敏感性的指标是一种称为区域释放能的能量测量,它预测了沿界面任意位置裂纹起裂时释放的能量。该方法的优点是:(1)该准则可作为复杂三维结构的损伤敏感性指标;(2)基于能量的准则比基于应力的准则更准确;(3)与断裂力学不同,不需要先验地假设初始缺陷的尺寸和位置。对于最新CMOS技术的发展,低k材料的集成和引入是主要瓶颈之一,因为它们的热完整性和机械完整性差,并且继承了低k材料的界面粘附性差。超低k (ULK)材料的使用,如多孔电介质,将需要大量的开发工作,以产生可靠的互连结构,能够承受IC,封装和组装相关的热机械和机械力。特别是与封装相关的工序,如切割、焊线、碰撞和成型产生的力是至关重要的,如果不采取适当措施,很容易导致IC后端结构开裂、分层和碎裂
{"title":"Efficient Damage Sensitivity Analysis of advanced Cu Low-k Bond Pad Structures Using Area Release Energy","authors":"O. van der Sluis, R. Engelen, W. V. van Driel, M. van Gils, R. van Silfhout","doi":"10.1109/ESIME.2006.1644041","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1644041","url":null,"abstract":"This paper presents an efficient method to describe the damage sensitivity of three-dimensional multi-layered structures. The index that characterizes this failure sensitivity is an energy measure called the area release energy, which predicts the amount of energy that is released upon crack initiation at an arbitrary position along an interface. The benefits of the method are: (1) the criterion can be used as damage sensitivity indicator for complex three-dimensional structures; (2) the criterion is energy based, thus more accurate than stress-based criteria; (3) unlike fracture mechanics, no initial defect size and location has to be assumed a priori. For the development of state-of-the-art CMOS technologies, the integration and introduction of low-k materials are one of the major bottlenecks due to their bad thermal and mechanical integrity and the inherited week interfacial adhesion. The use of ultra low-k (ULK) materials, such as porous dielectrics, will require significant development effort in order to result in reliable interconnect structures that are able to withstand the IC, packaging and assembly related thermo-mechanical and mechanical forces. Especially the forces resulting from packaging related processes such as dicing, wire bonding, bumping and molding are critical and can easily result in cracking, delamination and chipping of the IC back-end structure if no appropriate measures are taken","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77724957","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Interfacial Adhesion Method for Semiconductor Applications Covering the Full Mode Mixity 覆盖全模混合半导体应用的界面粘附方法
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1643963
J. Thijsse, W. V. van Driel, M. van Gils, O. van der Sluis
Currently, prediction of interface strength is typically done using the critical energy release rate. Interface strength, however, is heavily dependent on mode mixity. Accurately predicting delamination therefore requires a material model that includes the mode dependency of interface strength. A novel test setup is designed which allows mixed mode delamination testing. The setup is a stabilized version of the mixed mode bending test previously described by Reeder and Crews (1990, 1991). It allows for the measurement of stable crack growth over the full range of mode mixities, using a single specimen design. The crack length, necessary for calculation of the energy release rate, is obtained from an analytical model. Crack length and displacement data are used in a finite element model containing a crack tip to calculate the mode mixity
目前,界面强度的预测通常是使用临界能量释放率进行的。然而,界面强度严重依赖于模态混合。因此,准确预测分层需要一个包含界面强度模式依赖性的材料模型。设计了一种新的测试装置,可以进行混合模式分层测试。该装置是先前由Reeder和Crews(1990,1991)描述的混合模式弯曲试验的稳定版本。它允许测量稳定的裂纹增长在整个范围内的模式混合物,使用一个单一的试样设计。计算能量释放率所需的裂纹长度由解析模型得到。在包含裂纹尖端的有限元模型中,使用裂纹长度和位移数据计算模态混合
{"title":"Interfacial Adhesion Method for Semiconductor Applications Covering the Full Mode Mixity","authors":"J. Thijsse, W. V. van Driel, M. van Gils, O. van der Sluis","doi":"10.1109/ESIME.2006.1643963","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1643963","url":null,"abstract":"Currently, prediction of interface strength is typically done using the critical energy release rate. Interface strength, however, is heavily dependent on mode mixity. Accurately predicting delamination therefore requires a material model that includes the mode dependency of interface strength. A novel test setup is designed which allows mixed mode delamination testing. The setup is a stabilized version of the mixed mode bending test previously described by Reeder and Crews (1990, 1991). It allows for the measurement of stable crack growth over the full range of mode mixities, using a single specimen design. The crack length, necessary for calculation of the energy release rate, is obtained from an analytical model. Crack length and displacement data are used in a finite element model containing a crack tip to calculate the mode mixity","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87848367","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
SOI Die Heat Transfer Analysis from Device to Assembly Package SOI模具从器件到装配包的传热分析
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1643947
S. Irving, Y. Liu, D. Connerny, T. Luk
The operational characteristics of silicon devices are strongly influenced by device temperature. For SOI devices power dissipation is a much more significant challenge than for non-SOI devices. As a result the thermal design of SOI devices is vital to proper product performance. To maximize the engineering understanding of SOI circuits we develop a method to examine the combined system of SOI device and the package by finite element analysis. These results are compared to results obtained from an equivalent electrical model. The use of on die structures as an aide to heat dissipation is explored
硅器件的工作特性受器件温度的影响很大。对于SOI器件,功耗是比非SOI器件更重要的挑战。因此,SOI器件的热设计对适当的产品性能至关重要。为了最大限度地提高对SOI电路的工程理解,我们开发了一种通过有限元分析来检查SOI器件和封装组合系统的方法。这些结果与等效电模型的结果进行了比较。探讨了利用上模结构来辅助散热的方法
{"title":"SOI Die Heat Transfer Analysis from Device to Assembly Package","authors":"S. Irving, Y. Liu, D. Connerny, T. Luk","doi":"10.1109/ESIME.2006.1643947","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1643947","url":null,"abstract":"The operational characteristics of silicon devices are strongly influenced by device temperature. For SOI devices power dissipation is a much more significant challenge than for non-SOI devices. As a result the thermal design of SOI devices is vital to proper product performance. To maximize the engineering understanding of SOI circuits we develop a method to examine the combined system of SOI device and the package by finite element analysis. These results are compared to results obtained from an equivalent electrical model. The use of on die structures as an aide to heat dissipation is explored","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88873064","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Design for Reliability of Wafer Level Packages 晶圆级封装的可靠性设计
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1643961
W. Driel, H. P. Hochstenbach, Guoqi Zhang
Wafer level packages are one of the most advanced packaging concepts. It combines the advantages of flip chip with conventional surface mount technologies. In recent years we have seen a tremendous growth in the application of wafer level packages, both in quantities as well as in the number of products where they are implemented. The technology is, however, not without it's challenges with 1st and 2nd level reliability issues. For instance, the limit on the size of wafer level packages has to do with the 2nd level, or solder bump, reliability. This paper highlights our major research and development results on understanding and enhancing the 1st and 2nd level reliability of wafer level packages using combined experimental and virtual prototyping (thermal, mechanical and thermo-mechanical) techniques. Typical 1st level reliability problems within wafer level packages are cracking of repassivation materials, fatigue of bond over active pads, and cracks within the under bump metallisation. To investigate the physics of failure for these problems, dedicated parametric finite element models are constructed including the thin IC layers. Different repassivation materials and different structures are explored to their potential reliability benefits. By combining the experimental results with reliability prediction models and advanced simulation-based optimisation methods, the complete wafer level package design space in terms of distance from neutral point, PCB thickness, PCB copper layout, and use of improved structures is explored. Our numerical results explain the experimentally obtained reliability results for the effects of package size and PCB thickness. Even more, they indicate that by properly designing the copper layout in the PCB, a 10-20% improvement can be achieved for the WLP 2nd level reliability
晶圆级封装是最先进的封装概念之一。它结合了倒装芯片与传统表面贴装技术的优点。近年来,我们看到晶圆级封装的应用有了巨大的增长,无论是在数量上还是在产品数量上。然而,这项技术在一级和二级可靠性问题上并非没有挑战。例如,晶圆级封装的尺寸限制与第2级或焊点可靠性有关。本文重点介绍了我们在利用实验和虚拟样机(热、机械和热机械)技术来理解和提高晶圆级封装的一级和二级可靠性方面的主要研究和发展成果。晶圆级封装中典型的一级可靠性问题是再钝化材料的开裂,主动焊盘上的粘结疲劳,以及凹凸金属化内部的裂纹。为了研究这些问题的物理失效,建立了包括薄集成电路层在内的专用参数有限元模型。探讨了不同的再钝化材料和不同的结构对可靠性的潜在效益。通过将实验结果与可靠性预测模型和先进的基于仿真的优化方法相结合,从中点距离、PCB厚度、PCB铜布局和改进结构的使用等方面探索了完整的晶圆级封装设计空间。我们的数值结果解释了实验得到的封装尺寸和PCB厚度影响的可靠性结果。更重要的是,他们表明,通过正确设计PCB中的铜布局,可以实现10-20%的WLP二级可靠性改进
{"title":"Design for Reliability of Wafer Level Packages","authors":"W. Driel, H. P. Hochstenbach, Guoqi Zhang","doi":"10.1109/ESIME.2006.1643961","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1643961","url":null,"abstract":"Wafer level packages are one of the most advanced packaging concepts. It combines the advantages of flip chip with conventional surface mount technologies. In recent years we have seen a tremendous growth in the application of wafer level packages, both in quantities as well as in the number of products where they are implemented. The technology is, however, not without it's challenges with 1st and 2nd level reliability issues. For instance, the limit on the size of wafer level packages has to do with the 2nd level, or solder bump, reliability. This paper highlights our major research and development results on understanding and enhancing the 1st and 2nd level reliability of wafer level packages using combined experimental and virtual prototyping (thermal, mechanical and thermo-mechanical) techniques. Typical 1st level reliability problems within wafer level packages are cracking of repassivation materials, fatigue of bond over active pads, and cracks within the under bump metallisation. To investigate the physics of failure for these problems, dedicated parametric finite element models are constructed including the thin IC layers. Different repassivation materials and different structures are explored to their potential reliability benefits. By combining the experimental results with reliability prediction models and advanced simulation-based optimisation methods, the complete wafer level package design space in terms of distance from neutral point, PCB thickness, PCB copper layout, and use of improved structures is explored. Our numerical results explain the experimentally obtained reliability results for the effects of package size and PCB thickness. Even more, they indicate that by properly designing the copper layout in the PCB, a 10-20% improvement can be achieved for the WLP 2nd level reliability","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76448542","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Thermo-Electric Simulation of a 77GHz Radar Transmitter Chip for Automotive Applications 77GHz汽车雷达发射机芯片的热电仿真
Pub Date : 2006-04-24 DOI: 10.1109/ESIME.2006.1643998
A. Augustin, T. Hauck, A. Ghazinour
The paper presents the thermal design process of a transmitter chip by means of analytical solutions and numerical simulation. Thermo-electrical models representing the heat transfer mechanisms were developed. Parametric simulation studies have been applied for the device optimization. Special emphasis was put onto the multi-scale problem that appears with very small heat sources and dimensions in the square-micrometer range respectively. Submodeling technique is used to manage associated numerical difficulties. A parametric model is generated for a transmitter module with multiple transistor blocks on single chip. The model is validated by analytical solutions for heat sources on finite regions and associated experimental temperature measurements on wafer. Solutions and results of the parametric design studies are presented
本文采用解析解和数值模拟的方法,介绍了一种变送器芯片的热设计过程。建立了代表传热机理的热电模型。参数化仿真研究已应用于器件优化。重点讨论了小热源和平方微米尺度下的多尺度问题。子建模技术用于处理相关的数值困难。针对单片上具有多个晶体管块的发射机模块,建立了参数化模型。通过有限区域热源的解析解和薄片上的相关实验温度测量,验证了该模型的正确性。给出了参数化设计研究的解决方案和结果
{"title":"Thermo-Electric Simulation of a 77GHz Radar Transmitter Chip for Automotive Applications","authors":"A. Augustin, T. Hauck, A. Ghazinour","doi":"10.1109/ESIME.2006.1643998","DOIUrl":"https://doi.org/10.1109/ESIME.2006.1643998","url":null,"abstract":"The paper presents the thermal design process of a transmitter chip by means of analytical solutions and numerical simulation. Thermo-electrical models representing the heat transfer mechanisms were developed. Parametric simulation studies have been applied for the device optimization. Special emphasis was put onto the multi-scale problem that appears with very small heat sources and dimensions in the square-micrometer range respectively. Submodeling technique is used to manage associated numerical difficulties. A parametric model is generated for a transmitter module with multiple transistor blocks on single chip. The model is validated by analytical solutions for heat sources on finite regions and associated experimental temperature measurements on wafer. Solutions and results of the parametric design studies are presented","PeriodicalId":60796,"journal":{"name":"微纳电子与智能制造","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2006-04-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86185376","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
微纳电子与智能制造
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1