首页 > 最新文献

半导体技术最新文献

英文 中文
Advanced Process Control: benefits for photolithography process control 先进的工艺控制:有利于光刻工艺控制
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001582
C. Gould
High volume, cost effective, manufacturing of state of the art lithography processes requires in depth understanding of Process and Process-Tool interaction to achieve Advanced Process Control (APC). The APC systems being deployed at Infineon Technologies, Richmond has shown and is expected to continue demonstrating continuous improvement for the following primary metrics: /spl middot/ OL and CD Cpk /spl middot/ Rework Reduction /spl middot/ Reduction of MTTD /spl middot/ Lot Cycle Time improvement.
大批量、低成本、制造最先进的光刻工艺需要深入了解工艺和工艺-工具的相互作用,以实现先进的过程控制(APC)。在里士满英飞凌技术公司部署的APC系统已经显示出并预计将继续显示出以下主要指标的持续改进:/spl中点/ OL和CD Cpk /spl中点/返工减少/spl中点/ MTTD减少/spl中点/ Lot周期时间改善。
{"title":"Advanced Process Control: benefits for photolithography process control","authors":"C. Gould","doi":"10.1109/ASMC.2002.1001582","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001582","url":null,"abstract":"High volume, cost effective, manufacturing of state of the art lithography processes requires in depth understanding of Process and Process-Tool interaction to achieve Advanced Process Control (APC). The APC systems being deployed at Infineon Technologies, Richmond has shown and is expected to continue demonstrating continuous improvement for the following primary metrics: /spl middot/ OL and CD Cpk /spl middot/ Rework Reduction /spl middot/ Reduction of MTTD /spl middot/ Lot Cycle Time improvement.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76861998","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
ABC modeling: advanced features [semiconductor manufacturing] ABC建模:先进特性[半导体制造]
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001629
S. Miraglia, C. Blouin, G. Boldman, S. Judd, T. Richardson, D. Yao
At IBM in Essex Junction, Vermont, an enhanced ABC model is being used not only to assign costs to wafers, but in several new ways that have enabled analysis across multiple dimensions. The model is being used to aid cost reduction activities by providing wafer cost breakdown by process type (e.g., expose, deposition, etch, cleans, measurement), by element (e.g., depreciation, chemicals, staffing, occupancy), by level (e.g., poly gate, metal, via), and by equipment state (e.g., productive or idle). These new cost breakdowns allow a more complete understanding of the cost contribution of different factors and therefore enable proper focus with regard to cost reduction activities and productivity studies. For example, wafer costs broken down by masking layer show that critical levels (i.e., critical in terms of printing requirements) are the most expensive to manufacture. This approach changes classical activity-based-costing (ABC) from a financial tool to a managerial tool for assessing factory dynamics.
在佛蒙特州埃塞克斯枢纽的IBM公司,一个增强的ABC模型不仅被用来分配晶圆的成本,而且还以几种新的方式实现了多维度的分析。该模型通过提供按工艺类型(例如,暴露、沉积、蚀刻、清洁、测量)、按元素(例如,折旧、化学品、人员配备、占用)、按级别(例如,聚极、金属、通孔)和按设备状态(例如,生产或闲置)的晶圆片成本分解来帮助降低成本。这些新的成本细分使人们能够更全面地了解不同因素的成本贡献,从而能够适当地集中注意降低成本的活动和生产力研究。例如,通过掩蔽层分解晶圆成本表明,关键级别(即,就印刷要求而言,关键级别)的制造成本最高。这种方法将传统的作业成本法(ABC)从一种财务工具转变为一种评估工厂动态的管理工具。
{"title":"ABC modeling: advanced features [semiconductor manufacturing]","authors":"S. Miraglia, C. Blouin, G. Boldman, S. Judd, T. Richardson, D. Yao","doi":"10.1109/ASMC.2002.1001629","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001629","url":null,"abstract":"At IBM in Essex Junction, Vermont, an enhanced ABC model is being used not only to assign costs to wafers, but in several new ways that have enabled analysis across multiple dimensions. The model is being used to aid cost reduction activities by providing wafer cost breakdown by process type (e.g., expose, deposition, etch, cleans, measurement), by element (e.g., depreciation, chemicals, staffing, occupancy), by level (e.g., poly gate, metal, via), and by equipment state (e.g., productive or idle). These new cost breakdowns allow a more complete understanding of the cost contribution of different factors and therefore enable proper focus with regard to cost reduction activities and productivity studies. For example, wafer costs broken down by masking layer show that critical levels (i.e., critical in terms of printing requirements) are the most expensive to manufacture. This approach changes classical activity-based-costing (ABC) from a financial tool to a managerial tool for assessing factory dynamics.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80043545","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Advance Process Control solutions for semiconductor manufacturing 先进的半导体制造过程控制解决方案
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001583
M. Sarfaty, A. Shanmugasundram, A. Schwarm, J. Paik, Jimin Zhang, R. Pan, M. Seamons, H. Li, R. Hung, S. Parikh
Traditional semiconductor manufacturing relies on a fixed process-recipe combined with a classical statistical process control that is used to monitor the production process. Leading-edge manufacturing processes require higher levels of precision and accuracy, which necessitate the use of tighter process control. Advanced Process Control (APC) is becoming a critical component to improve performance, yield, throughput, and flexibility of the manufacturing process using run-to-run, wafer-to-wafer, within wafer and real-time process control. The complexity of device manufacturing process as well as the strong coupling effect of several input parameters on the final process outputs prohibit the use of a classical single variable feedback control method. Therefore, multivariate, model-based APC system is developed in conjunction with feed-forward and feedback mechanisms to automatically determine the optimal recipe for each wafer based on both incoming wafer and tool state properties. The APC system uses wafer metrology, process models and sophisticated control algorithms to provide dynamic fine-tuning of intermediate process targets that enhance final device targets. The design of the APC system enables scalable control solutions across a single chamber, a process tool, multi-tools, a process module and multi-process modules using similar building blocks, concepts and algorithms.
传统的半导体制造依赖于固定的工艺配方与经典的统计过程控制相结合,用于监控生产过程。领先的制造工艺需要更高的精度和准确性,这就需要使用更严格的过程控制。先进过程控制(APC)正在成为通过运行到运行、晶圆到晶圆、晶圆内和实时过程控制来提高制造过程的性能、良率、吞吐量和灵活性的关键组成部分。器件制造过程的复杂性以及多个输入参数对最终过程输出的强耦合效应使得经典的单变量反馈控制方法无法使用。因此,基于模型的多变量APC系统与前馈和反馈机制相结合,根据进料晶圆和刀具状态属性自动确定每片晶圆的最佳配方。APC系统使用晶圆计量、过程模型和复杂的控制算法来提供中间过程目标的动态微调,从而增强最终设备目标。APC系统的设计支持跨单腔室、工艺工具、多工具、工艺模块和多工艺模块的可扩展控制解决方案,使用类似的构建块、概念和算法。
{"title":"Advance Process Control solutions for semiconductor manufacturing","authors":"M. Sarfaty, A. Shanmugasundram, A. Schwarm, J. Paik, Jimin Zhang, R. Pan, M. Seamons, H. Li, R. Hung, S. Parikh","doi":"10.1109/ASMC.2002.1001583","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001583","url":null,"abstract":"Traditional semiconductor manufacturing relies on a fixed process-recipe combined with a classical statistical process control that is used to monitor the production process. Leading-edge manufacturing processes require higher levels of precision and accuracy, which necessitate the use of tighter process control. Advanced Process Control (APC) is becoming a critical component to improve performance, yield, throughput, and flexibility of the manufacturing process using run-to-run, wafer-to-wafer, within wafer and real-time process control. The complexity of device manufacturing process as well as the strong coupling effect of several input parameters on the final process outputs prohibit the use of a classical single variable feedback control method. Therefore, multivariate, model-based APC system is developed in conjunction with feed-forward and feedback mechanisms to automatically determine the optimal recipe for each wafer based on both incoming wafer and tool state properties. The APC system uses wafer metrology, process models and sophisticated control algorithms to provide dynamic fine-tuning of intermediate process targets that enhance final device targets. The design of the APC system enables scalable control solutions across a single chamber, a process tool, multi-tools, a process module and multi-process modules using similar building blocks, concepts and algorithms.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90541016","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
Water usage reduction in a semiconductor fabricator 在半导体制造中减少用水
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001630
G. Klusewitz, J. M. C. Viegh
Semiconductor fabricators use immense amounts of water, a large portion of which is used to produce Ultra Pure Water (UPW) also referred to as DI (Deionized) water. Approximately 1500 gallons of city water are required to produce 1000 gallons of UPW. The cost for generating UPW is approximately $12 per 1000 gallons. Producing the UPW also involves UV lamps, filters, pumps, and recirculating systems that require energy to operate. Approximately 46 kWH can be saved for every 1000 gallons of UPW conserved. This paper describes the methodology and results of a cross-functional team to reduce UPW usage in the Fairchild Mountaintop six inch facility. The team comprised process technicians, process engineers, facility engineers, and equipment vendors. Reduction efforts included rinse sink, process, and supply modifications. The goal was to reduce the DI usage by 2 million gallons within one year. The annual savings as a result of this team's efforts are projected to approach 18 million gallons of DI water or about $214,000.
半导体制造商使用大量的水,其中很大一部分用于生产超纯水(UPW),也称为DI(去离子水)。大约需要1500加仑的城市用水来生产1000加仑的UPW。产生UPW的成本大约是每1000加仑12美元。生产UPW还涉及到紫外线灯、过滤器、泵和循环系统,这些都需要能量来运行。每节约1000加仑UPW,大约可以节约46千瓦时。本文描述了一个跨职能团队在Fairchild Mountaintop 6英寸设备中减少UPW使用的方法和结果。该团队由工艺技术人员、工艺工程师、设备工程师和设备供应商组成。减少的努力包括冲洗水槽,工艺和供应的修改。目标是在一年内减少200万加仑的DI使用量。由于这个小组的努力,预计每年节省的去离子水接近1800万加仑,约合21.4万美元。
{"title":"Water usage reduction in a semiconductor fabricator","authors":"G. Klusewitz, J. M. C. Viegh","doi":"10.1109/ASMC.2002.1001630","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001630","url":null,"abstract":"Semiconductor fabricators use immense amounts of water, a large portion of which is used to produce Ultra Pure Water (UPW) also referred to as DI (Deionized) water. Approximately 1500 gallons of city water are required to produce 1000 gallons of UPW. The cost for generating UPW is approximately $12 per 1000 gallons. Producing the UPW also involves UV lamps, filters, pumps, and recirculating systems that require energy to operate. Approximately 46 kWH can be saved for every 1000 gallons of UPW conserved. This paper describes the methodology and results of a cross-functional team to reduce UPW usage in the Fairchild Mountaintop six inch facility. The team comprised process technicians, process engineers, facility engineers, and equipment vendors. Reduction efforts included rinse sink, process, and supply modifications. The goal was to reduce the DI usage by 2 million gallons within one year. The annual savings as a result of this team's efforts are projected to approach 18 million gallons of DI water or about $214,000.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91118679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A simulation study on periodical priority dispatching of WIP for product-mix fabrication 混合产品制造中在制品周期优先调度的仿真研究
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001569
K. Saito, S. Arima
This paper proposes a new dynamic priority dispatching algorithm for the product-mix of work-in-progress (WIP) at a processing station with multiple machines. The algorithm is used to evaluate dispatching priority when each quantum starts. The priority is defined considering both the number of WIP and the decreasing rate of WIP in the incoming buffer. When the priority is high, a single sort of WIP is assigned to a machine for a given period, or quantum. This algorithm, named Pseudo Periodical Priority Dispatching (P3D), P3D was examined through Monte Carlo simulation. In a product-mix, a machine must be adjusted when the WIP is changed. The adjustment frequency and the degradation of the machine utilization due to the adjustment are discussed. The algorithm is able to achieve fair dispatching both at a bottlenecked processing step and a non-bottlenecked processing step.
针对多机加工站的在制品组合,提出了一种新的动态优先级调度算法。该算法用于计算每个量子启动时的调度优先级。优先级的定义考虑了在制品的数量和在制品在传入缓冲区中的减少率。当优先级较高时,在给定的时间段或数量内将单一种类的在制品分配给一台机器。该算法被命名为伪周期优先级调度(P3D),并通过蒙特卡罗仿真对P3D进行了验证。在产品组合中,当在制品数量发生变化时,必须对机器进行调整。讨论了调整频率和由于调整引起的机器利用率的下降。该算法在瓶颈处理步和非瓶颈处理步都能实现公平调度。
{"title":"A simulation study on periodical priority dispatching of WIP for product-mix fabrication","authors":"K. Saito, S. Arima","doi":"10.1109/ASMC.2002.1001569","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001569","url":null,"abstract":"This paper proposes a new dynamic priority dispatching algorithm for the product-mix of work-in-progress (WIP) at a processing station with multiple machines. The algorithm is used to evaluate dispatching priority when each quantum starts. The priority is defined considering both the number of WIP and the decreasing rate of WIP in the incoming buffer. When the priority is high, a single sort of WIP is assigned to a machine for a given period, or quantum. This algorithm, named Pseudo Periodical Priority Dispatching (P3D), P3D was examined through Monte Carlo simulation. In a product-mix, a machine must be adjusted when the WIP is changed. The adjustment frequency and the degradation of the machine utilization due to the adjustment are discussed. The algorithm is able to achieve fair dispatching both at a bottlenecked processing step and a non-bottlenecked processing step.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87723984","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Shallow trench isolation run-to-run control project at Infineon Technologies Richmond 列治文英飞凌技术公司的浅沟隔离运行控制项目
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001584
P. Jowett, V. Morozov
A project was launched to improve Shallow Trench Isolation (STI) etch depth control on magnetically enhanced reactive ion etch (MERIE) chambers. The aim was to reduce the wafer-to-wafer depth variation. The cause of the wafer-to-wafer depth variation was found to be due to a number of factors, including: (a) Multiple chamber/platform of film deposition tools, (b) Multiple Film Thickness Metrology tools, (c) Multiple etch chamber/platform tools and (d) Multiple STI Depth metrology equipment. To account for these variations, a feedback Run-to-Run control loop has been developed. The algorithm utilizes pre-etch film thickness and post-etch STI depth metrology data to output a new etch time for a particular chamber in order to re-center the process. The challenges that where overcome with the Run-to-Run (R2R) algorithm included: (1) Filtering incoming data from possible "flyers," (2) Accounting for uncertainties associated with different metrology tools and (3) Improving the robustness of the control algorithm. After implementation, in-silicon depth standard deviation was reduced to /spl sim/1/3 of its original value. SPC (statistical process control) parameters were also significantly improved.
启动了一个项目,以改善磁增强反应离子蚀刻(MERIE)室的浅沟隔离(STI)蚀刻深度控制。其目的是减少晶圆与晶圆之间的深度差异。晶圆与晶圆之间深度差异的原因被发现是由许多因素造成的,包括:(a)多个膜沉积工具的多室/平台,(b)多个膜厚度测量工具,(c)多个蚀刻室/平台工具和(d)多个STI深度测量设备。为了解释这些变化,已经开发了一个反馈的运行到运行控制回路。该算法利用预蚀刻膜厚度和后蚀刻STI深度计量数据输出一个新的蚀刻时间为一个特定的腔室,以便重新中心的过程。Run-to-Run (R2R)算法克服的挑战包括:(1)从可能的“传单”中过滤传入数据,(2)考虑与不同计量工具相关的不确定性,(3)提高控制算法的鲁棒性。实施后,硅内深度标准差降至原值的/spl sim/1/3。统计过程控制(SPC)参数也有显著改善。
{"title":"Shallow trench isolation run-to-run control project at Infineon Technologies Richmond","authors":"P. Jowett, V. Morozov","doi":"10.1109/ASMC.2002.1001584","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001584","url":null,"abstract":"A project was launched to improve Shallow Trench Isolation (STI) etch depth control on magnetically enhanced reactive ion etch (MERIE) chambers. The aim was to reduce the wafer-to-wafer depth variation. The cause of the wafer-to-wafer depth variation was found to be due to a number of factors, including: (a) Multiple chamber/platform of film deposition tools, (b) Multiple Film Thickness Metrology tools, (c) Multiple etch chamber/platform tools and (d) Multiple STI Depth metrology equipment. To account for these variations, a feedback Run-to-Run control loop has been developed. The algorithm utilizes pre-etch film thickness and post-etch STI depth metrology data to output a new etch time for a particular chamber in order to re-center the process. The challenges that where overcome with the Run-to-Run (R2R) algorithm included: (1) Filtering incoming data from possible \"flyers,\" (2) Accounting for uncertainties associated with different metrology tools and (3) Improving the robustness of the control algorithm. After implementation, in-silicon depth standard deviation was reduced to /spl sim/1/3 of its original value. SPC (statistical process control) parameters were also significantly improved.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76596731","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Scanner focus and CD response characterization metrology for sub 180 nm lithography 亚180nm光刻的扫描焦点和CD响应特性测量
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001638
C. Putnam, H. Magoon, M. Alam, S. Beaumont, C. Fruga, F. Leung, E. Morita, R. Pierce, N. Roberts
The rapid pace in scanner technology has produced a situation where many process layers will have to be manufactured with a minimum depth of focus (DOF) of approximately 0.3 um with very stringent critical dimension (CD) control. This paper explores the application of Optical Critical Dimension (formerly called OCD, now MX-SMP) technology to measure and evaluate focus in addition to the CD response across the wafer.
扫描仪技术的快速发展产生了一种情况,即许多工艺层必须以大约0.3 um的最小焦深(DOF)制造,并具有非常严格的临界尺寸(CD)控制。本文探讨了光学临界尺寸(以前称为OCD,现在称为MX-SMP)技术在晶圆上测量和评估焦点以及CD响应的应用。
{"title":"Scanner focus and CD response characterization metrology for sub 180 nm lithography","authors":"C. Putnam, H. Magoon, M. Alam, S. Beaumont, C. Fruga, F. Leung, E. Morita, R. Pierce, N. Roberts","doi":"10.1109/ASMC.2002.1001638","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001638","url":null,"abstract":"The rapid pace in scanner technology has produced a situation where many process layers will have to be manufactured with a minimum depth of focus (DOF) of approximately 0.3 um with very stringent critical dimension (CD) control. This paper explores the application of Optical Critical Dimension (formerly called OCD, now MX-SMP) technology to measure and evaluate focus in addition to the CD response across the wafer.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87844930","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Advanced Cu CMP defect excursion control for leading edge micro-processor manufacturing 先进的Cu CMP缺陷偏移控制,用于前沿微处理器制造
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001581
P. Stöckl, B. Saville, J. Kavanagh, T. Dellwig
The introduction of yield sensitive, advanced interconnect technology coupled with the requirement for accelerating yield ramp in today's state-of-the-art semiconductor manufacturing facilities, are driving tool monitoring requirements for fast and accurate defect excursion control. In the Copper CMP module the challenge is accentuated by the relative immaturity of this process, the dominance of single wafer excursions and a high count of nuisance defect types relative to the critical yield-limiting defect types. A manufacturing-worthy Copper CMP tool monitor methodology is described here that improves excursion control through detection and tracking of critical, yield-limiting defect types, independent of non-yield-critical nuisance defect types. High-resolution automatic defect review and classification, a critical component of the methodology, is limited to wafers with high critical-defect counts, reducing monitoring cost and time-to-results. A new trigger sampling feature and intelligent image sampling reduces monitoring cost and time-to-results through minimizing defect review overhead. Integration of such a solution into the manufacturing environment is presented in detail and contrasted next to existing traditional defect excursion control model. Ease-of-use considerations are highlighted with use case examples. The paper will approximate the cost savings to manufacturing such as reducing existing levels of false excursion due to nuisance defects and improving the cycle time in the Cu CMP module. Benefits are achieved by integrating functionality into existing inspection hardware. No additional capital equipment was required.
引入良率敏感的先进互连技术,再加上当今最先进的半导体制造设施对加速良率斜坡的要求,正在推动工具监控需求,以实现快速准确的缺陷偏移控制。在铜CMP模块中,由于该工艺的相对不成熟,单晶圆漂移占主导地位,以及相对于限制产量的关键缺陷类型而言,令人讨厌的缺陷类型数量较多,因此挑战更加突出。本文介绍了一种具有制造价值的Copper CMP工具监控方法,该方法通过检测和跟踪关键的、限制产量的缺陷类型来改善偏移控制,而不依赖于非产量关键的有害缺陷类型。高分辨率的自动缺陷审查和分类是方法的关键组成部分,仅限于具有高关键缺陷计数的晶圆,从而减少了监控成本和获得结果的时间。新的触发采样特性和智能图像采样通过最小化缺陷审查开销来减少监控成本和获得结果的时间。详细介绍了该解决方案与制造环境的集成,并与现有的传统缺陷偏移控制模型进行了对比。通过用例示例强调了易用性考虑。本文将估算制造成本节约,例如减少由于讨厌的缺陷造成的现有错误偏移水平,并改善Cu CMP模块的周期时间。通过将功能集成到现有的检查硬件中可以获得好处。不需要额外的资本设备。
{"title":"Advanced Cu CMP defect excursion control for leading edge micro-processor manufacturing","authors":"P. Stöckl, B. Saville, J. Kavanagh, T. Dellwig","doi":"10.1109/ASMC.2002.1001581","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001581","url":null,"abstract":"The introduction of yield sensitive, advanced interconnect technology coupled with the requirement for accelerating yield ramp in today's state-of-the-art semiconductor manufacturing facilities, are driving tool monitoring requirements for fast and accurate defect excursion control. In the Copper CMP module the challenge is accentuated by the relative immaturity of this process, the dominance of single wafer excursions and a high count of nuisance defect types relative to the critical yield-limiting defect types. A manufacturing-worthy Copper CMP tool monitor methodology is described here that improves excursion control through detection and tracking of critical, yield-limiting defect types, independent of non-yield-critical nuisance defect types. High-resolution automatic defect review and classification, a critical component of the methodology, is limited to wafers with high critical-defect counts, reducing monitoring cost and time-to-results. A new trigger sampling feature and intelligent image sampling reduces monitoring cost and time-to-results through minimizing defect review overhead. Integration of such a solution into the manufacturing environment is presented in detail and contrasted next to existing traditional defect excursion control model. Ease-of-use considerations are highlighted with use case examples. The paper will approximate the cost savings to manufacturing such as reducing existing levels of false excursion due to nuisance defects and improving the cycle time in the Cu CMP module. Benefits are achieved by integrating functionality into existing inspection hardware. No additional capital equipment was required.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74071988","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Manufacturing implementation of low-k dielectrics for copper damascene technology 低k介电体的制造实施铜大马士革技术
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001633
H. Ruelke, C. Streck, J. Hohage, S. Weiher-Telford, O. Chretrien
Advanced logic devices are setting new demands for backend integration. New high-end processor families like the AMD Athlon/sup TM/ and AMD's eighth generation processor (codenamed "Hammer"), require the introduction of low-k interlayer dielectric (ILD) materials with copper to enable improvements in chip speed and reduction of overall power consumption. This is a challenging process for both tool suppliers and integrated circuit manufacturers. The semiconductor industry is looking for a low-k solution that delivers easy-to-integrate, high-performance dielectric films combined with high throughput and low cost of ownership. Based on key technical and manufacturing requirements, Advanced Micro Devices, Inc. has chosen the Applied Materials Producer system for low-k dielectric process applications. Implementation of Black Diamond/sup TM/ (BD) and BLOk/sup TM/ into the process flow enables an integrated k value of <3.0, which represents a 20 percent reduction compared to fluorinated silicate glass (F-TEOS) and silicon nitride (SiN). At Fab 30, AMD's advanced copper manufacturing line, the Producer has demonstrated reliable and stable performance in high volume production for the deposition of conventional dielectric films. This paper focuses on bringing a low-k dielectric solution beyond F-TEOS to full manufacturing readiness for copper interconnect technology.
先进的逻辑设备对后端集成提出了新的要求。新的高端处理器系列,如AMD Athlon/sup TM/和AMD的第八代处理器(代号为“Hammer”),需要引入低k铜层介电层(ILD)材料,以提高芯片速度并降低整体功耗。这对工具供应商和集成电路制造商来说都是一个具有挑战性的过程。半导体行业正在寻找一种低k解决方案,提供易于集成的高性能介电薄膜,同时具有高吞吐量和低拥有成本。基于关键技术和制造要求,Advanced Micro Devices公司选择了应用材料生产商系统用于低k介电工艺应用。在工艺流程中实施Black Diamond/sup TM/ (BD)和block /sup TM/,使集成k值<3.0,与氟化硅酸盐玻璃(F-TEOS)和氮化硅(SiN)相比,降低了20%。在Fab 30, AMD的先进的铜生产线,生产商已经证明了可靠和稳定的性能在传统介电薄膜沉积的大批量生产。本文的重点是将低k介电介质解决方案超越F-TEOS,以实现铜互连技术的完全制造就绪。
{"title":"Manufacturing implementation of low-k dielectrics for copper damascene technology","authors":"H. Ruelke, C. Streck, J. Hohage, S. Weiher-Telford, O. Chretrien","doi":"10.1109/ASMC.2002.1001633","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001633","url":null,"abstract":"Advanced logic devices are setting new demands for backend integration. New high-end processor families like the AMD Athlon/sup TM/ and AMD's eighth generation processor (codenamed \"Hammer\"), require the introduction of low-k interlayer dielectric (ILD) materials with copper to enable improvements in chip speed and reduction of overall power consumption. This is a challenging process for both tool suppliers and integrated circuit manufacturers. The semiconductor industry is looking for a low-k solution that delivers easy-to-integrate, high-performance dielectric films combined with high throughput and low cost of ownership. Based on key technical and manufacturing requirements, Advanced Micro Devices, Inc. has chosen the Applied Materials Producer system for low-k dielectric process applications. Implementation of Black Diamond/sup TM/ (BD) and BLOk/sup TM/ into the process flow enables an integrated k value of <3.0, which represents a 20 percent reduction compared to fluorinated silicate glass (F-TEOS) and silicon nitride (SiN). At Fab 30, AMD's advanced copper manufacturing line, the Producer has demonstrated reliable and stable performance in high volume production for the deposition of conventional dielectric films. This paper focuses on bringing a low-k dielectric solution beyond F-TEOS to full manufacturing readiness for copper interconnect technology.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76728793","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
The implementation of AFM for process monitoring and metrology in trench MOSFET device manufacturing AFM在沟槽MOSFET器件制造过程监控与计量中的应用
Pub Date : 2002-08-07 DOI: 10.1109/ASMC.2002.1001642
R. Ridley, C. Strate, J. Cumbo, T. Grebs, C. Gasser
In this investigation the implementation of AFM as a tool for process control as well as a metrology tool for characterizing trench MOSFET devices in a manufacturing environment is examined. In particular this study focuses on three major issues surrounding the implementation of AFM into a high-volume manufacturing environment for process control. First, factors influencing automated data collection are reviewed including scan calibration, alignment identification, alignment issues, and SPC optimization. Second, the critical features of AFM tip selection, behavior, and capability are discussed. Finally, AFM monitoring capability for features within the trench, such as recessed polysilicon and ILD planarization, is evaluated. The AFM is shown to be effective at evaluating depth and surface topography issues. However, the AFM's ability to monitor critical dimension (CD) openings is shown to be very limited.
在本研究中,AFM作为过程控制工具的实施,以及在制造环境中表征沟槽MOSFET器件的计量工具进行了检查。本研究特别关注围绕AFM在大批量制造环境中实施过程控制的三个主要问题。首先,回顾了影响自动数据收集的因素,包括扫描校准,对准识别,对准问题和SPC优化。其次,讨论了原子力显微镜尖端选择、行为和性能的关键特征。最后,评估了AFM对沟槽内特征(如凹槽多晶硅和ILD平面化)的监测能力。AFM在评估深度和表面地形问题上是有效的。然而,AFM监测临界尺寸(CD)开口的能力非常有限。
{"title":"The implementation of AFM for process monitoring and metrology in trench MOSFET device manufacturing","authors":"R. Ridley, C. Strate, J. Cumbo, T. Grebs, C. Gasser","doi":"10.1109/ASMC.2002.1001642","DOIUrl":"https://doi.org/10.1109/ASMC.2002.1001642","url":null,"abstract":"In this investigation the implementation of AFM as a tool for process control as well as a metrology tool for characterizing trench MOSFET devices in a manufacturing environment is examined. In particular this study focuses on three major issues surrounding the implementation of AFM into a high-volume manufacturing environment for process control. First, factors influencing automated data collection are reviewed including scan calibration, alignment identification, alignment issues, and SPC optimization. Second, the critical features of AFM tip selection, behavior, and capability are discussed. Finally, AFM monitoring capability for features within the trench, such as recessed polysilicon and ILD planarization, is evaluated. The AFM is shown to be effective at evaluating depth and surface topography issues. However, the AFM's ability to monitor critical dimension (CD) openings is shown to be very limited.","PeriodicalId":64779,"journal":{"name":"半导体技术","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74585248","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
期刊
半导体技术
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1