首页 > 最新文献

2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)最新文献

英文 中文
A robust embedded ladder-oxide/Cu multilevel interconnect technology for 0.13 /spl mu/m CMOS generation 用于0.13 /spl mu/m CMOS生成的坚固的嵌入式阶梯氧化物/Cu多层互连技术
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015377
N. Oda, S. Ito, T. Takewaki, H. Kunishima, N. Hironaga, I. Honma, H. Namba, S. Yokogawa, T. Goto, T. Usami, K. Ohto, A. Kubo, H. Aoki, M. Suzuki, Y. Yamamoto, S. Watanabe, T. Takeda, K. Yamada, M. Kosaka, T. Horiuchi
A robust embedded ladder-oxide (k=2.9)/Cu multilevel interconnect is demonstrated for the 0.13 /spl mu/m CMOS generation. A stable ladder-oxide IMD is integrated into the Cu metallization with minimum wiring pitch of 0.34 /spl mu/m, and a single damascene (S/D) Cu-plug structure is applied. An 18% reduction in wiring capacitance is obtained compared with SiO/sub 2/ IMD. The stress-migration lifetime of vias on wide metals for S/D Cu-plug structure is much longer than for dual damascene (D/D). The reliability test results such as those for electromigration (EM), Cu interconnect TDDB, and pressure cooker test (PCT) are quite acceptable. Moreover, high flexibility in thermal design and packaging is obtained.
为0.13 /spl mu/m CMOS代演示了一个稳健的嵌入式阶梯氧化物(k=2.9)/Cu多电平互连。稳定的阶梯氧化物IMD集成到Cu金属化中,最小布线间距为0.34 /spl mu/m,采用单damascene (S/D) Cu插头结构。与SiO/sub 2/ IMD相比,布线电容降低18%。宽金属上S/D铜塞结构的过孔应力迁移寿命远长于双damascene (D/D)结构。电迁移(EM)、铜互连TDDB、高压锅测试(PCT)等可靠性测试结果尚可接受。此外,在热设计和封装方面具有很高的灵活性。
{"title":"A robust embedded ladder-oxide/Cu multilevel interconnect technology for 0.13 /spl mu/m CMOS generation","authors":"N. Oda, S. Ito, T. Takewaki, H. Kunishima, N. Hironaga, I. Honma, H. Namba, S. Yokogawa, T. Goto, T. Usami, K. Ohto, A. Kubo, H. Aoki, M. Suzuki, Y. Yamamoto, S. Watanabe, T. Takeda, K. Yamada, M. Kosaka, T. Horiuchi","doi":"10.1109/VLSIT.2002.1015377","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015377","url":null,"abstract":"A robust embedded ladder-oxide (k=2.9)/Cu multilevel interconnect is demonstrated for the 0.13 /spl mu/m CMOS generation. A stable ladder-oxide IMD is integrated into the Cu metallization with minimum wiring pitch of 0.34 /spl mu/m, and a single damascene (S/D) Cu-plug structure is applied. An 18% reduction in wiring capacitance is obtained compared with SiO/sub 2/ IMD. The stress-migration lifetime of vias on wide metals for S/D Cu-plug structure is much longer than for dual damascene (D/D). The reliability test results such as those for electromigration (EM), Cu interconnect TDDB, and pressure cooker test (PCT) are quite acceptable. Moreover, high flexibility in thermal design and packaging is obtained.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"26 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134348150","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Vertical pass transistor design for sub-100 nm DRAM technologies 垂直通管设计,用于sub- 100nm DRAM技术
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015443
K. McStay, D. Chidambarrao, J. Mandelman, J. Beintner, H. Tews, M. Weybright, G. Wang, Y. Li, K. Hummler, R. Divakaruni, W. Bergner, E. Crabbé, G. Bronner, W. Müller
The 8F/sup 2/ vertical transistor DRAM cell is a cost-efficient, litho-friendly structure suitable for scaling to sub-100 nm ground rules. In this paper, we report on device design considerations for vertical pass transistors used in ultra-dense DRAM technologies. A double-gate, vertical DRAM pass transistor that meets 1fA off-current requirement and offers twice the current drive of comparable 175 nm planar devices will be presented. Additionally, structural features unique to vertical devices are highlighted.
8F/sup 2/垂直晶体管DRAM单元是一种成本效益高、对岩石友好的结构,适合缩放到100纳米以下的基本规则。在本文中,我们报告了用于超密集DRAM技术的垂直通道晶体管的器件设计考虑。一种满足1fA断流要求的双栅垂直DRAM通流晶体管,其电流驱动是同类175 nm平面器件的两倍。此外,强调了垂直设备的独特结构特征。
{"title":"Vertical pass transistor design for sub-100 nm DRAM technologies","authors":"K. McStay, D. Chidambarrao, J. Mandelman, J. Beintner, H. Tews, M. Weybright, G. Wang, Y. Li, K. Hummler, R. Divakaruni, W. Bergner, E. Crabbé, G. Bronner, W. Müller","doi":"10.1109/VLSIT.2002.1015443","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015443","url":null,"abstract":"The 8F/sup 2/ vertical transistor DRAM cell is a cost-efficient, litho-friendly structure suitable for scaling to sub-100 nm ground rules. In this paper, we report on device design considerations for vertical pass transistors used in ultra-dense DRAM technologies. A double-gate, vertical DRAM pass transistor that meets 1fA off-current requirement and offers twice the current drive of comparable 175 nm planar devices will be presented. Additionally, structural features unique to vertical devices are highlighted.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"415 ","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"113995855","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A porous Si based novel isolation technology for mixed-signal integrated circuits 一种基于多孔硅的混合信号集成电路新型隔离技术
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015433
Han-Su Kim, K. Chong, Yahong Xie, M. Devincentis, T. Itoh, A. Becker, K. Jenkins
A novel isolation technology for RF applications based on semi-insulating porous Si (PS) is demonstrated. RF cross-talk isolation of 70 dB at 2 GHz and -45 dB at 8 GHz has been demonstrated using PS trenches that provide complete isolation between neighboring regions of a p/sup +/ Si chip. On-chip spiral inductors of 6 nH fabricated over the PS regions have been demonstrated with Q/sub max/ /spl sim/29 at 7 GHz and a resonant frequency of over 20 GHz.
提出了一种基于半绝缘多孔硅(PS)的射频隔离新技术。在2 GHz和8 GHz下,使用PS沟槽实现了70 dB和-45 dB的射频串扰隔离,该沟槽在p/sup +/ Si芯片的相邻区域之间提供了完全隔离。在PS区域上制作的6 nH片上螺旋电感器在7 GHz时的Q/sub max/ /spl sim/29和20 GHz以上的谐振频率得到了验证。
{"title":"A porous Si based novel isolation technology for mixed-signal integrated circuits","authors":"Han-Su Kim, K. Chong, Yahong Xie, M. Devincentis, T. Itoh, A. Becker, K. Jenkins","doi":"10.1109/VLSIT.2002.1015433","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015433","url":null,"abstract":"A novel isolation technology for RF applications based on semi-insulating porous Si (PS) is demonstrated. RF cross-talk isolation of 70 dB at 2 GHz and -45 dB at 8 GHz has been demonstrated using PS trenches that provide complete isolation between neighboring regions of a p/sup +/ Si chip. On-chip spiral inductors of 6 nH fabricated over the PS regions have been demonstrated with Q/sub max/ /spl sim/29 at 7 GHz and a resonant frequency of over 20 GHz.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"136 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116390149","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Highly manufacturable 32 Mb ULP-SRAM technology by using dual gate process for 1.5 V Vcc operation 高度可制造的32 Mb ULP-SRAM技术,采用双栅极工艺,1.5 V Vcc操作
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015416
D.H. Kim, S. Kim, B. Hwang, S.H. Seo, J. Choi, H.S. Lee, W.S. Yang, M. Kim, K. Kwak, J.Y. Lee, J. Joo, J. Kim, K. Koh, S. Park, J. Hong
For 1.5 V low Vcc operation and high performance, a full-CMOS ultra low power (ULP) SRAM using dual gate and Co salicide technology was developed. We evaluated the new technology including (i) 0.11 /spl mu/m fine patterning implemented by phase shift mask (PSM) and optical proximity correction (OPC), (ii) dual gate CMOS transistors with thin gate oxide, (iii) improvement of the Co salicide process to minimize leakage current, including ultra-shallow junction and rapid thermal annealing (RTA) processing. The results have been achieved on a 32 Mb high density 6 T ULP-SRAM cell.
为实现1.5 V低Vcc工作和高性能,开发了一种采用双栅极和钴盐化技术的全cmos超低功耗SRAM。我们对新技术进行了评估,包括(i)通过相移掩模(PSM)和光学接近校正(OPC)实现的0.11 /spl mu/m精细图案,(ii)采用薄栅氧化物的双栅CMOS晶体管,(iii)改进Co salicide工艺以最小化泄漏电流,包括超浅结和快速热退火(RTA)处理。结果已在32mb高密度6t ULP-SRAM单元上实现。
{"title":"Highly manufacturable 32 Mb ULP-SRAM technology by using dual gate process for 1.5 V Vcc operation","authors":"D.H. Kim, S. Kim, B. Hwang, S.H. Seo, J. Choi, H.S. Lee, W.S. Yang, M. Kim, K. Kwak, J.Y. Lee, J. Joo, J. Kim, K. Koh, S. Park, J. Hong","doi":"10.1109/VLSIT.2002.1015416","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015416","url":null,"abstract":"For 1.5 V low Vcc operation and high performance, a full-CMOS ultra low power (ULP) SRAM using dual gate and Co salicide technology was developed. We evaluated the new technology including (i) 0.11 /spl mu/m fine patterning implemented by phase shift mask (PSM) and optical proximity correction (OPC), (ii) dual gate CMOS transistors with thin gate oxide, (iii) improvement of the Co salicide process to minimize leakage current, including ultra-shallow junction and rapid thermal annealing (RTA) processing. The results have been achieved on a 32 Mb high density 6 T ULP-SRAM cell.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"47 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127212474","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Fragile porous low-k/copper integration by using electro-chemical polishing 电化学抛光易碎多孔低k/铜集成
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015376
S. Takahashi, K. Tai, H. Ohtorii, N. Komai, Y. Segawa, H. Horikoshi, Z. Yasuda, H. Yamada, M. Ishihara, T. Nogami
A fragile porous ultra-low-k (k=2.2) silica was successfully integrated at trench level in damascene copper by applying our previously reported [1] electro chemical polishing (ECP) technique for Cu. After removing Cu by ECP, the barrier (WN) was removed by low pressure (LP) CMP (<1 psi). Practical polishing rates were obtained for WN in LP-CMP, because of higher chemical sensitivity of WN compared to Ta(N). Compatibility of CVD barrier to porous low-k, excellent barrier performance in aggressive features and lower via resistance were achieved by a newly developed CVD/PVD stacked WN barrier.
通过应用我们先前报道的[1]电化学抛光(ECP)技术,我们成功地将一种脆弱的多孔超低钾(k=2.2)二氧化硅集成到damascene铜的沟槽水平。用ECP去除Cu后,用低压(LP) CMP (<1 psi)去除屏障(WN)。由于与Ta(N)相比,WN具有更高的化学敏感性,因此在LP-CMP中获得了实际的抛光速率。新开发的CVD/PVD叠置WN势垒与多孔低k的相容性好,具有良好的侵蚀性能和较低的通孔电阻。
{"title":"Fragile porous low-k/copper integration by using electro-chemical polishing","authors":"S. Takahashi, K. Tai, H. Ohtorii, N. Komai, Y. Segawa, H. Horikoshi, Z. Yasuda, H. Yamada, M. Ishihara, T. Nogami","doi":"10.1109/VLSIT.2002.1015376","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015376","url":null,"abstract":"A fragile porous ultra-low-k (k=2.2) silica was successfully integrated at trench level in damascene copper by applying our previously reported [1] electro chemical polishing (ECP) technique for Cu. After removing Cu by ECP, the barrier (WN) was removed by low pressure (LP) CMP (<1 psi). Practical polishing rates were obtained for WN in LP-CMP, because of higher chemical sensitivity of WN compared to Ta(N). Compatibility of CVD barrier to porous low-k, excellent barrier performance in aggressive features and lower via resistance were achieved by a newly developed CVD/PVD stacked WN barrier.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"64 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114649736","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Suppression of leakage current in SOI CMOS LSIs by using silicon-sidewall body-contact (SSBC) technology 利用硅侧壁体接触(SSBC)技术抑制SOI CMOS lsi中的漏电流
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015381
N. Kotani, S. Ito, T. Yasui, A. Wada, T. Yamaoka, T. Hori
This paper clarifies two SOI-specific leakage components, STI-induced punchthrough and gate-oxide leakage, found especially in large-scale integration, and proposes a new SOI technology: silicon-sidewall body-contact (SSBC). Without layout penalty and process complexity, SSBC realizes self-aligned body contact to the substrate, which suppresses gate-oxide leakage, and prevents the SOI body from being mechanically stressed, thus eliminating punchthrough leakage. SSBC is promising for scaled SOI CMOS LSIs.
本文阐述了大规模集成电路中常见的两种SOI专用泄漏成分,即sti引起的穿孔和栅极氧化物泄漏,并提出了一种新的SOI技术:硅侧壁体接触(SSBC)。SSBC在没有布局损失和工艺复杂性的情况下,实现了本体与衬底的自对准接触,从而抑制了栅极氧化物泄漏,防止了SOI本体受到机械应力,从而消除了穿孔泄漏。SSBC有望用于规模化SOI CMOS lsi。
{"title":"Suppression of leakage current in SOI CMOS LSIs by using silicon-sidewall body-contact (SSBC) technology","authors":"N. Kotani, S. Ito, T. Yasui, A. Wada, T. Yamaoka, T. Hori","doi":"10.1109/VLSIT.2002.1015381","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015381","url":null,"abstract":"This paper clarifies two SOI-specific leakage components, STI-induced punchthrough and gate-oxide leakage, found especially in large-scale integration, and proposes a new SOI technology: silicon-sidewall body-contact (SSBC). Without layout penalty and process complexity, SSBC realizes self-aligned body contact to the substrate, which suppresses gate-oxide leakage, and prevents the SOI body from being mechanically stressed, thus eliminating punchthrough leakage. SSBC is promising for scaled SOI CMOS LSIs.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127055316","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Specific structural factors influencing on reliability of CVD-HfO/sub 2/ 特定结构因素对CVD-HfO/sub /可靠性的影响
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015374
Y. Harada, M. Niwa, Sungjoo Lee, D. Kwong
We report on two key issues for CVD-HfO/sub 2/ gate dielectric which influence their reliability. The first is extrinsic defects, i.e. two types of extrinsic defects which lead to large electrical leakage. The other issue is interfaces inside the film, i.e. stoichiometric interfaces due to Si out-diffusion from the substrate, and interfaces defined by dielectric constant transitions formed by a diffusion mechanism of Si into HfO/sub 2/. Lower Weibull slope /spl beta/ is mainly determined by the distance from the Si substrate to the k-transition interface. Although /spl beta/ becomes smaller due to the k-transition interface, it was clarified to be improved by a single layered silicate without k-transition interface.
本文报道了影响CVD-HfO/sub - 2/栅极介质可靠性的两个关键问题。第一类是外源性缺陷,即两种导致大漏电的外源性缺陷。另一个问题是薄膜内部的界面,即由于Si从衬底向外扩散而形成的化学计量界面,以及Si向HfO/sub 2/扩散机制形成的介电常数转变所定义的界面。较低的威布尔斜率/spl beta/主要由Si衬底到k跃迁界面的距离决定。虽然由于k-转变界面的存在,/spl β /变小,但没有k-转变界面的单层硅酸盐可以改善/spl β /。
{"title":"Specific structural factors influencing on reliability of CVD-HfO/sub 2/","authors":"Y. Harada, M. Niwa, Sungjoo Lee, D. Kwong","doi":"10.1109/VLSIT.2002.1015374","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015374","url":null,"abstract":"We report on two key issues for CVD-HfO/sub 2/ gate dielectric which influence their reliability. The first is extrinsic defects, i.e. two types of extrinsic defects which lead to large electrical leakage. The other issue is interfaces inside the film, i.e. stoichiometric interfaces due to Si out-diffusion from the substrate, and interfaces defined by dielectric constant transitions formed by a diffusion mechanism of Si into HfO/sub 2/. Lower Weibull slope /spl beta/ is mainly determined by the distance from the Si substrate to the k-transition interface. Although /spl beta/ becomes smaller due to the k-transition interface, it was clarified to be improved by a single layered silicate without k-transition interface.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122088105","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Thermal stability and scalability of Zr-aluminate-based high-k gate stacks 锆铝酸盐基高钾栅极堆的热稳定性和可扩展性
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015448
P. Chen, E. Cartier, R. Carter, T. Kauerauf, C. Zhao, J. Pétry, V. Cosnier, Z. Xu, A. Kerber, W. Tsai, E. Young, S. Kubicek, M. Caymax, W. Vandervorst, S. De Gendt, M. Heyns, M. Copel, W. Besling, P. Bajolet, J. Maes
It is demonstrated that a narrow composition range exists in the ZrAl/sub x/O/sub y/ mixed oxide system between 25 and 50 mol% Al/sub 2/O/sub 3/, where the crystallization temperature exceeds 950/spl deg/C and at the same time the k-values remain larger than 12. In this composition range, enhanced thermal stability for better integration of the ZrAl/sub x/O/sub y/ gate dielectric in a conventional poly-Si device process is observed. It is also shown that thin interfacial oxides strongly enhance the electrical stability while allowing for thickness scaling down to /spl sim/1 nm, providing gate leakage current reductions of two to three orders of magnitude.
结果表明,在ZrAl/sub x/O/sub y/混合氧化物体系中,组分范围在25 ~ 50 mol% Al/sub 2/O/sub 3/之间,结晶温度超过950℃,k值大于12。在这个组成范围内,观察到在传统的多晶硅器件工艺中,ZrAl/sub x/O/sub y/栅极电介质的热稳定性得到了增强。研究还表明,薄的界面氧化物增强了电稳定性,同时允许厚度缩小到/spl sim/1 nm,提供栅极泄漏电流降低两到三个数量级。
{"title":"Thermal stability and scalability of Zr-aluminate-based high-k gate stacks","authors":"P. Chen, E. Cartier, R. Carter, T. Kauerauf, C. Zhao, J. Pétry, V. Cosnier, Z. Xu, A. Kerber, W. Tsai, E. Young, S. Kubicek, M. Caymax, W. Vandervorst, S. De Gendt, M. Heyns, M. Copel, W. Besling, P. Bajolet, J. Maes","doi":"10.1109/VLSIT.2002.1015448","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015448","url":null,"abstract":"It is demonstrated that a narrow composition range exists in the ZrAl/sub x/O/sub y/ mixed oxide system between 25 and 50 mol% Al/sub 2/O/sub 3/, where the crystallization temperature exceeds 950/spl deg/C and at the same time the k-values remain larger than 12. In this composition range, enhanced thermal stability for better integration of the ZrAl/sub x/O/sub y/ gate dielectric in a conventional poly-Si device process is observed. It is also shown that thin interfacial oxides strongly enhance the electrical stability while allowing for thickness scaling down to /spl sim/1 nm, providing gate leakage current reductions of two to three orders of magnitude.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"108 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114631073","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Comparison between ultra-thin ZrO/sub 2/ and ZrO/sub x/N/sub y/ gate dielectrics in TaN or poly-gated NMOSCAP and NMOSFET devices 超薄ZrO/sub 2/和ZrO/sub x/N/sub y/栅极介质在TaN或多门控NMOSCAP和NMOSFET器件中的比较
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015445
R. Nieh, S. Krishnan, Hag-ju Cho, C. Kang, S. Gopalan, K. Onishi, R. Choi, J.C. Lee
Both NMOSCAP and self-aligned NMOSFET devices using TaN gates were fabricated and characterized in order to compare ZrO/sub 2/ and nitrogen-incorporated ZrO/sub 2/ (ZrO/sub x/N/sub y/) gate dielectrics (EOT/spl sim/10.3/spl Aring/). ZrO/sub x/N/sub y/ devices demonstrated excellent thermal stability, comparable leakage current, higher breakdown field, decreased subthreshold swing, and improved drive current over ZrO/sub x/ devices. Polysilicon-gated NMOSCAPs were also fabricated to investigate the compatibility of ZrO/sub x/N/sub y/ with the poly process (EOT/spl sim/19/spl Aring/), but high leakage and TEM analysis revealed interaction between the poly and ZrO/sub x/N/sub y/.
为了比较ZrO/sub 2/ (ZrO/sub x/N/sub y/)栅极介质(EOT/spl sim/10.3/spl Aring/),制备了NMOSCAP和自对准NMOSFET器件,并对其进行了表征。与ZrO/sub x/器件相比,ZrO/sub x/N/sub y/器件表现出优异的热稳定性、相当的泄漏电流、更高的击穿场、更小的亚阈值摆幅和更高的驱动电流。制备了多晶硅门控的NMOSCAPs,以研究ZrO/sub x/N/sub y/与聚过程(EOT/spl sim/19/spl Aring/)的相容性,但高泄漏和TEM分析表明,聚与ZrO/sub x/N/sub y/之间存在相互作用。
{"title":"Comparison between ultra-thin ZrO/sub 2/ and ZrO/sub x/N/sub y/ gate dielectrics in TaN or poly-gated NMOSCAP and NMOSFET devices","authors":"R. Nieh, S. Krishnan, Hag-ju Cho, C. Kang, S. Gopalan, K. Onishi, R. Choi, J.C. Lee","doi":"10.1109/VLSIT.2002.1015445","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015445","url":null,"abstract":"Both NMOSCAP and self-aligned NMOSFET devices using TaN gates were fabricated and characterized in order to compare ZrO/sub 2/ and nitrogen-incorporated ZrO/sub 2/ (ZrO/sub x/N/sub y/) gate dielectrics (EOT/spl sim/10.3/spl Aring/). ZrO/sub x/N/sub y/ devices demonstrated excellent thermal stability, comparable leakage current, higher breakdown field, decreased subthreshold swing, and improved drive current over ZrO/sub x/ devices. Polysilicon-gated NMOSCAPs were also fabricated to investigate the compatibility of ZrO/sub x/N/sub y/ with the poly process (EOT/spl sim/19/spl Aring/), but high leakage and TEM analysis revealed interaction between the poly and ZrO/sub x/N/sub y/.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117200223","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
Avoiding plasma induced damage to gate oxide with conductive top film (CTF) on PECVD contact etch stop layer 在PECVD接触蚀刻停止层上使用导电顶膜(CTF)避免等离子体对栅极氧化物的损伤
Pub Date : 2002-06-11 DOI: 10.1109/VLSIT.2002.1015393
S. Song, S. Filipiak, A. Perera, M. Turner, F. Huang, S. Anderson, L. Kang, B. Min, D. Menke, S. Tukunang, S. Venkatesan
Significantly reduced plasma damage is demonstrated by including a thin conductive top film (CTF) on the contact etch stop layer (ESL) for the first time, which effectively blocks radiation generated by subsequent high density plasma processes. We also show that plasma damage exacerbates negative bias temperature instability (NBTI) in PMOSFETs and can be effectively suppressed by the CTF process.
通过首次在接触蚀刻停止层(ESL)上加入导电薄顶膜(CTF),可以有效地阻挡后续高密度等离子体工艺产生的辐射,从而显著降低等离子体损伤。我们还发现等离子体损伤加剧了pmosfet的负偏置温度不稳定性(NBTI),并且可以通过CTF工艺有效地抑制。
{"title":"Avoiding plasma induced damage to gate oxide with conductive top film (CTF) on PECVD contact etch stop layer","authors":"S. Song, S. Filipiak, A. Perera, M. Turner, F. Huang, S. Anderson, L. Kang, B. Min, D. Menke, S. Tukunang, S. Venkatesan","doi":"10.1109/VLSIT.2002.1015393","DOIUrl":"https://doi.org/10.1109/VLSIT.2002.1015393","url":null,"abstract":"Significantly reduced plasma damage is demonstrated by including a thin conductive top film (CTF) on the contact etch stop layer (ESL) for the first time, which effectively blocks radiation generated by subsequent high density plasma processes. We also show that plasma damage exacerbates negative bias temperature instability (NBTI) in PMOSFETs and can be effectively suppressed by the CTF process.","PeriodicalId":103040,"journal":{"name":"2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)","volume":"252 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2002-06-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133883070","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
期刊
2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1