首页 > 最新文献

2018 IEEE Symposium on VLSI Technology最新文献

英文 中文
A Circuit Compatible Accurate Compact Model for Ferroelectric-FETs 一种电路兼容的精确紧凑铁电场效应管模型
Pub Date : 2018-06-18 DOI: 10.1109/VLSIT.2018.8510622
K. Ni, M. Jerry, Jeffrey A. Smith, S. Datta
In this work we develop a compact model of ferroelectric field-effect-transistors (FeFET) for memory applications, enabling their exploration at the circuit and architecture level. In contrast to Landau-Khalatnikov (L-K) based approaches, the presented model is founded on the combination of a nucleation dominated multi-domain Presiach theory of ferroelectric switching with a conventional transistor model. The model successfully reproduces the evolution of the FeFET memory window as a function of the program and erase conditions (amplitude, pulse width, and history). To calibrate the model, we fabricated 10nm thick Hf0.4Zr0.6O2 (HZO) MFM capacitors and FeFETs and characterized the polarization switching dynamics. Our results highlight the importance of accounting for the switching history, minor loop trajectory, and coupled time-voltage response of the ferroelectric to quantitatively reproduce the measured FeFET characteristics.
在这项工作中,我们开发了用于存储应用的铁电场效应晶体管(FeFET)的紧凑模型,使其能够在电路和体系结构层面进行探索。与基于Landau-Khalatnikov (L-K)的方法相比,该模型建立在铁电开关的成核多域Presiach理论与传统晶体管模型的结合之上。该模型成功地再现了ffet存储窗口作为程序和擦除条件(幅度,脉冲宽度和历史)的函数的演变。为了校准模型,我们制作了10nm厚的Hf0.4Zr0.6O2 (HZO) MFM电容器和ffet,并表征了极化开关动力学。我们的研究结果强调了考虑铁电开关历史、小回路轨迹和耦合时间电压响应的重要性,以定量地再现所测量的ffet特性。
{"title":"A Circuit Compatible Accurate Compact Model for Ferroelectric-FETs","authors":"K. Ni, M. Jerry, Jeffrey A. Smith, S. Datta","doi":"10.1109/VLSIT.2018.8510622","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510622","url":null,"abstract":"In this work we develop a compact model of ferroelectric field-effect-transistors (FeFET) for memory applications, enabling their exploration at the circuit and architecture level. In contrast to Landau-Khalatnikov (L-K) based approaches, the presented model is founded on the combination of a nucleation dominated multi-domain Presiach theory of ferroelectric switching with a conventional transistor model. The model successfully reproduces the evolution of the FeFET memory window as a function of the program and erase conditions (amplitude, pulse width, and history). To calibrate the model, we fabricated 10nm thick Hf0.4Zr0.6O2 (HZO) MFM capacitors and FeFETs and characterized the polarization switching dynamics. Our results highlight the importance of accounting for the switching history, minor loop trajectory, and coupled time-voltage response of the ferroelectric to quantitatively reproduce the measured FeFET characteristics.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"44 1","pages":"131-132"},"PeriodicalIF":0.0,"publicationDate":"2018-06-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83717164","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 101
Low RA Magnetic Tunnel Junction Arrays in Conjunction with Low Switching Current and High Breakdown Voltage for STT-MRAM at 10 nm and Beyond 10nm及以上STT-MRAM的低RA磁隧道结阵列与低开关电流和高击穿电压相结合
Pub Date : 2018-06-18 DOI: 10.1109/VLSIT.2018.8510653
C. Park, H. Lee, C. Ching, J. Ahn, R. Wang, M. Pakala, S. H. Kang
The scaling of STT-MRAM for deeply scaled nodes (e.g. sub-10 nm CMOS) requires low resistance-area-product (RA) magnetic tunnel junctions (MTJs) to contain switching voltage (Vc) and to assure high endurance. In contrast to various reports, we demonstrate systematic engineering of low-RA MTJs without trading off key device attributes and remarkably, with higher barrier reliability. The MTJs integrate an ultra-thin synthetic antiferromagnetic layer (tSAF) with a Co/Pt pseudo-alloy pinned layer. By reducing RA from 10 to 5 Ωµm2, significantly reduced Vc and reliable switching at 5 ns have been achieved. Furthermore, the breakdown voltage (VBD) has been improved. The results suggest that the tunability of MTJ is extended to sub-10 nm CMOS for high-performance and high-reliability MRAM.
STT-MRAM的深度缩放节点(例如低于10 nm的CMOS)需要低电阻面积积(RA)磁隧道结(MTJs)来包含开关电压(Vc)并确保高耐用性。与各种报告相反,我们展示了低ra mtj的系统工程,而不需要交易关键设备属性,并且值得注意的是,具有更高的屏障可靠性。MTJs集成了超薄合成反铁磁层(tSAF)和Co/Pt伪合金钉住层。通过将RA从10降低到5 Ωµm2,显著降低了Vc并实现了5 ns的可靠开关。此外,击穿电压(VBD)也得到了提高。结果表明,MTJ的可调性可扩展到10 nm以下的CMOS,以实现高性能和高可靠性的MRAM。
{"title":"Low RA Magnetic Tunnel Junction Arrays in Conjunction with Low Switching Current and High Breakdown Voltage for STT-MRAM at 10 nm and Beyond","authors":"C. Park, H. Lee, C. Ching, J. Ahn, R. Wang, M. Pakala, S. H. Kang","doi":"10.1109/VLSIT.2018.8510653","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510653","url":null,"abstract":"The scaling of STT-MRAM for deeply scaled nodes (e.g. sub-10 nm CMOS) requires low resistance-area-product (RA) magnetic tunnel junctions (MTJs) to contain switching voltage (Vc) and to assure high endurance. In contrast to various reports, we demonstrate systematic engineering of low-RA MTJs without trading off key device attributes and remarkably, with higher barrier reliability. The MTJs integrate an ultra-thin synthetic antiferromagnetic layer (tSAF) with a Co/Pt pseudo-alloy pinned layer. By reducing RA from 10 to 5 Ωµm2, significantly reduced Vc and reliable switching at 5 ns have been achieved. Furthermore, the breakdown voltage (VBD) has been improved. The results suggest that the tunability of MTJ is extended to sub-10 nm CMOS for high-performance and high-reliability MRAM.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"25 1","pages":"185-186"},"PeriodicalIF":0.0,"publicationDate":"2018-06-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80044131","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
A Threshold Switch Augmented Hybrid-FeFET (H-FeFET) with Enhanced Read Distinguishability and Reduced Programming Voltage for Non-Volatile Memory Applications 一种用于非易失性存储器的阈值开关增强混合ffet (h - ffet),具有增强的读可分辨性和降低的编程电压
Pub Date : 2018-06-18 DOI: 10.1109/VLSIT.2018.8510679
M. Jerry, A. Aziz, K. Ni, S. Datta, S. Gupta, N. Shukla
In this work, we demonstrate a novel Hybrid-FeFET (H-FeFET) that leverages the threshold switching characteristics of Ag/HfO2 to overcome the fundamental trade-off between memory window MW /read current ratio (Iread,1/Iread,0) , and program voltage (Vprog)/maximum electric-field in standard FeFETs for non-volatile memory application. The H-FeFET incorporates the threshold switch (TS) in the source of the FeFET, and is designed to exhibit a ferroelectric state-dependent volatile HRS to LRS transition (ION/IOFF >107) – during read, the TS turns ON only if the FeFET is in the low-VT SET state, and remains OFF if the FeFET is in the high-VT RESET state, thus, selectively suppressing the RESET read current. Leveraging this principle, the H-FeFET: a Demonstrates 77% higher MW and 1000× larger Iread,1/Iread,0 compared to the FeFET, at iso-Vprog (DC); (b) Enables 25% reduction in Vprog at iso-Iread,1/Iread,0 during pulse operation-facilitated by the 8× improvement in Iread,1/Iread,0; (c) Exhibits 2.5×reduction in programming power at iso-Iread,1/Iread,0 in the H-FeFET-based AND array architecture, as shown by simulations. Thus, the H-FeFET overcomes the FeFET design challenges while retaining its existing advantages, making it a promising candidate for nonvolatile memory applications.
在这项工作中,我们展示了一种新的混合ffet (h - ffet),它利用Ag/HfO2的阈值开关特性来克服标准ffet中用于非易失性存储器应用的存储器窗口MW /读取电流比(Iread,1/Iread,0)和程序电压(Vprog)/最大电场之间的基本权衡。h- ffet在ffet源中集成了阈值开关(TS),并设计为具有铁电状态相关的易失性HRS到LRS跃迁(ION/IOFF >107) -在读取期间,TS仅在ffet处于低vt SET状态时打开,并且在ffet处于高vt RESET状态时保持关闭,因此,选择性地抑制RESET读电流。利用这一原理,在iso-Vprog (DC)下,与ffet相比,h - ffet的MW提高了77%,Iread (1/Iread,0)提高了1000倍;(b)在脉冲操作过程中,在iso-Iread,1/Iread,0下使Vprog降低25%,这得益于Iread,1/Iread,0提高了8倍;(c)模拟显示,基于h - fet的AND阵列结构在iso-Iread,1/Iread,0时的编程能力2.5×reduction。因此,h - ffet在保留其现有优势的同时克服了ffet设计挑战,使其成为非易失性存储器应用的有希望的候选者。
{"title":"A Threshold Switch Augmented Hybrid-FeFET (H-FeFET) with Enhanced Read Distinguishability and Reduced Programming Voltage for Non-Volatile Memory Applications","authors":"M. Jerry, A. Aziz, K. Ni, S. Datta, S. Gupta, N. Shukla","doi":"10.1109/VLSIT.2018.8510679","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510679","url":null,"abstract":"In this work, we demonstrate a novel Hybrid-FeFET (H-FeFET) that leverages the threshold switching characteristics of Ag/HfO<inf>2</inf> to overcome the fundamental trade-off between memory window MW /read current ratio (I<inf>read,1</inf>/I<inf>read,0</inf>) , and program voltage (V<inf>prog</inf>)/maximum electric-field in standard FeFETs for non-volatile memory application. The H-FeFET incorporates the threshold switch (TS) in the source of the FeFET, and is designed to exhibit a ferroelectric state-dependent volatile HRS to LRS transition (I<inf>ON</inf>/I<inf>OFF</inf> >10<sup>7</sup>) – during read, the TS turns ON only if the FeFET is in the low-V<inf>T</inf> SET state, and remains OFF if the FeFET is in the high-V<inf>T</inf> RESET state, thus, selectively suppressing the RESET read current. Leveraging this principle, the H-FeFET: a Demonstrates 77% higher MW and 1000× larger I<inf>read,1</inf>/I<inf>read,0</inf> compared to the FeFET, at iso-V<inf>prog</inf> (DC); (b) Enables 25% reduction in V<inf>prog</inf> at iso-I<inf>read,1</inf>/I<inf>read,0</inf> during pulse operation-facilitated by the 8× improvement in I<inf>read,1</inf>/I<inf>read,0</inf>; (c) Exhibits 2.5×reduction in programming power at iso-I<inf>read,1</inf>/I<inf>read,0</inf> in the H-FeFET-based AND array architecture, as shown by simulations. Thus, the H-FeFET overcomes the FeFET design challenges while retaining its existing advantages, making it a promising candidate for nonvolatile memory applications.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"24 1","pages":"129-130"},"PeriodicalIF":0.0,"publicationDate":"2018-06-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89894588","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Hole mobility enhancement in extremely-thin-body strained GOI and SGOI pMOSFETs by improved Ge condensation method 改进Ge凝聚法增强极薄体应变GOI和SGOI pmosfet的空穴迁移率
Pub Date : 2018-06-01 DOI: 10.1109/VLSIT.2018.8510646
K. Jo, W. Kim, M. Takenaka, S. Takagi
We demonstrate high performance extremely-thin-body (ETB) Ge-on-insulator (GOI) and SiGe-on-insulator (SGOI) pMOSFETs with the body thickness ranging from 10 to 2 nm by applying the improved Ge condensation process with slow cooling to initial substrates with thinner SiGe layers. When we employ Si/40-nm-thin Si0.75Ge0.25/SOI structures as starting substrates for Ge condensation, the high compressive strain of ~1.75% is maintained in GOI, leading to the operation of 10-nm-thick GOI pMOSFETs with hole mobility (µh) of 467 cm2/Vs. Furthermore, by thinning the fabricated GOI and SGOI films, we demonstrate the operation of ETB GOI and SGOI pMOSFETs with the body thickness down to 2 nm without losing high compressive strain. Comparing with the reported results, the record-high µh is obtained in GOI pMOSFETs in the GOI thickness ranging from 10 to 2 nm.
我们展示了高性能极薄体(ETB)绝缘体上锗(GOI)和绝缘体上锗(SGOI) pmosfet,其体厚范围为10至2 nm,通过将改进的锗冷凝工艺与缓慢冷却应用于具有较薄SiGe层的初始衬底。当我们采用Si/40-nm-thin Si0.75Ge0.25/SOI结构作为Ge冷凝的起始衬底时,GOI中保持了~1.75%的高压缩应变,导致10-nm厚GOI pmosfet的空穴迁移率(µh)为467 cm2/Vs。此外,通过稀释制备的GOI和SGOI薄膜,我们证明了ETB GOI和SGOI pmosfet的运行,其体厚降至2 nm而不损失高压应变。与已报道的结果相比,在GOI厚度为10 ~ 2 nm的GOI pmosfet中获得了创纪录的µh。
{"title":"Hole mobility enhancement in extremely-thin-body strained GOI and SGOI pMOSFETs by improved Ge condensation method","authors":"K. Jo, W. Kim, M. Takenaka, S. Takagi","doi":"10.1109/VLSIT.2018.8510646","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510646","url":null,"abstract":"We demonstrate high performance extremely-thin-body (ETB) Ge-on-insulator (GOI) and SiGe-on-insulator (SGOI) pMOSFETs with the body thickness ranging from 10 to 2 nm by applying the improved Ge condensation process with slow cooling to initial substrates with thinner SiGe layers. When we employ Si/40-nm-thin Si0.75Ge0.25/SOI structures as starting substrates for Ge condensation, the high compressive strain of ~1.75% is maintained in GOI, leading to the operation of 10-nm-thick GOI pMOSFETs with hole mobility (µh) of 467 cm2/Vs. Furthermore, by thinning the fabricated GOI and SGOI films, we demonstrate the operation of ETB GOI and SGOI pMOSFETs with the body thickness down to 2 nm without losing high compressive strain. Comparing with the reported results, the record-high µh is obtained in GOI pMOSFETs in the GOI thickness ranging from 10 to 2 nm.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"55 1","pages":"195-196"},"PeriodicalIF":0.0,"publicationDate":"2018-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74035864","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 12nm FinFET Technology Featuring 2nd Generation FinFET for Low Power and High Performance Applications 具有低功耗和高性能应用的第二代FinFET的12nm FinFET技术
Pub Date : 2018-06-01 DOI: 10.1109/VLSIT.2018.8510632
H. Lo, D. Choi, Y. Hu, Y. Shen, Y. Qi, J. Peng, D. Zhou, M. Mohan, C. Yong, H. Zhan, H. Wei, X. He, D. Kang, A. Sirman, Y. Wang, H. Zang, S. Mun, A. Vinslava, W.H. Chen, C. Gaire, J. Liu, X. Dou, Y. Shi, P. Zhao, B. Zhu, A. Jha, X. Zhang, X. Wan, E. Lavigne, C. Kyono, M. Togo, J. Versaggi, H. Yu, O. Hu, J. lee, S. Samavedam, D. K. Sohn
We present a state-of-art 12LP FinFET technology with PPA (Performance, Power, and Area) improvement over 14LPP. 12LP enables >10% area reduction including a 7.5T library and 16% power reduction at fixed frequency or a 15% performance improvement at given leakage over 14LPP with comparable reliability and yield. In addition, SRAMs benefit from a 30% leakage reduction at the same Iread. 12LP extends the 14nm technology with compelling performance and area scaling.
我们提出了一种最先进的12LP FinFET技术,其PPA(性能,功率和面积)优于14LPP。12LP可使面积减小10%,包括7.5T库,在固定频率下功耗降低16%,在14LPP给定泄漏时性能提高15%,具有相当的可靠性和成品率。此外,在相同的Iread下,sram的泄漏减少了30%。12LP扩展了14nm技术,具有引人注目的性能和面积缩放。
{"title":"A 12nm FinFET Technology Featuring 2nd Generation FinFET for Low Power and High Performance Applications","authors":"H. Lo, D. Choi, Y. Hu, Y. Shen, Y. Qi, J. Peng, D. Zhou, M. Mohan, C. Yong, H. Zhan, H. Wei, X. He, D. Kang, A. Sirman, Y. Wang, H. Zang, S. Mun, A. Vinslava, W.H. Chen, C. Gaire, J. Liu, X. Dou, Y. Shi, P. Zhao, B. Zhu, A. Jha, X. Zhang, X. Wan, E. Lavigne, C. Kyono, M. Togo, J. Versaggi, H. Yu, O. Hu, J. lee, S. Samavedam, D. K. Sohn","doi":"10.1109/VLSIT.2018.8510632","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510632","url":null,"abstract":"We present a state-of-art 12LP FinFET technology with PPA (Performance, Power, and Area) improvement over 14LPP. 12LP enables >10% area reduction including a 7.5T library and 16% power reduction at fixed frequency or a 15% performance improvement at given leakage over 14LPP with comparable reliability and yield. In addition, SRAMs benefit from a 30% leakage reduction at the same Iread. 12LP extends the 14nm technology with compelling performance and area scaling.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"103 1","pages":"215-216"},"PeriodicalIF":0.0,"publicationDate":"2018-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77697695","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Ferroelectric Switching Delay as Cause of Negative Capacitance and the Implications to NCFETs 导致负电容的铁电开关延迟及其对ncfet的影响
Pub Date : 2018-06-01 DOI: 10.1109/VLSIT.2018.8510628
B. Obradovic, T. Rakshit, R. Hatcher, J. Kittl, M. Rodder
We report on measurements and modeling of FE HfZrO/SiO2 Ferroelectric-Dielectric (FE-DE) FETs which indicate that phenomena attributed to Negative Capacitance can be explained by a delayed response of ferroelectric domain switching. No traversal of the stabilized negative capacitance branch is required. Modeling is used to correlate the hysteretic properties of the ferroelectric material to the measured transient and subthreshold slope (SS) behavior. It is found that steep SS can be understood as a transient phenomenon, present only when significant polarization changes occur. The technological implications of this finding are investigated, and it is found that NCFETs are most likely not suitable for high-performance CMOS logic, due to voltage, frequency, and voltage polarity limitations.
我们报告了FE HfZrO/SiO2铁电介质(FE- de) fet的测量和建模,表明归因于负电容的现象可以用铁电畴切换的延迟响应来解释。不需要穿过稳定的负电容支路。建模用于将铁电材料的滞后特性与测量的瞬态和亚阈值斜率(SS)行为联系起来。发现陡SS可以理解为一种瞬态现象,只有在发生显著极化变化时才会出现。研究了这一发现的技术含义,发现ncfet很可能不适合高性能CMOS逻辑,由于电压、频率和电压极性的限制。
{"title":"Ferroelectric Switching Delay as Cause of Negative Capacitance and the Implications to NCFETs","authors":"B. Obradovic, T. Rakshit, R. Hatcher, J. Kittl, M. Rodder","doi":"10.1109/VLSIT.2018.8510628","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510628","url":null,"abstract":"We report on measurements and modeling of FE HfZrO/SiO2 Ferroelectric-Dielectric (FE-DE) FETs which indicate that phenomena attributed to Negative Capacitance can be explained by a delayed response of ferroelectric domain switching. No traversal of the stabilized negative capacitance branch is required. Modeling is used to correlate the hysteretic properties of the ferroelectric material to the measured transient and subthreshold slope (SS) behavior. It is found that steep SS can be understood as a transient phenomenon, present only when significant polarization changes occur. The technological implications of this finding are investigated, and it is found that NCFETs are most likely not suitable for high-performance CMOS logic, due to voltage, frequency, and voltage polarity limitations.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"62 1","pages":"51-52"},"PeriodicalIF":0.0,"publicationDate":"2018-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77708311","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 34
Design Technology Co-Optimization in advanced FDSOI CMOS around the Minimum Energy Point: body biasing and within-cell VT-mixing 围绕最小能量点的先进FDSOI CMOS设计技术协同优化:体偏置和单元内vt混合
Pub Date : 2018-06-01 DOI: 10.1109/VLSIT.2018.8510636
F. Andrieu, L. Pirro, R. Berthelon, J. Morgan, G. Cibrario, M. Wiatr, J. Hoentschel, M. Vinet
We propose an original Technology/Design Co-optimization of standard cells mixing devices of different threshold voltages (VT-flavors) within a cell. It is successfully applied with nMOS Low-VT (LVT) and pMOS Super-Low-VT (SLVT) in Ultra-Low-Voltage (ULV) Fully Depleted Silicon-On-Insulator (FDSOI) LETI standard cells using diffusion breaks. It enables adjusting the VT of pMOS subject to SiGe-channel-induced Local Layout Effect (LLE); leading experimentally to a 23% frequency gain on 22nm FDSOI technology for a 2-finger inverter Ring Oscillator (IVSX2 RO) vs. reference LVT at the same static leakage and VDD=0.4V supply voltage; which corresponds to the Minimum Energy Point (MEP). This solution is combined with Forward Body Biasing (FBB), which brings +253% frequency at VDD=0.4V and FBB=1.6V and improves the energy efficiency with a −13% minimum Energy Delay Product (EDP) along with a 50mV VDD reduction at the minimum EDP.
我们提出了一个原始的技术/设计协同优化的标准电池混合装置不同的阈值电压(vt -flavor)在一个电池。该方法成功应用于超低电压(ULV)完全耗尽绝缘体上硅(FDSOI) LETI标准电池的nMOS低vt (LVT)和pMOS超低vt (SLVT)。它可以调节受sige通道诱导的局部布局效应(LLE)影响的pMOS的VT;实验结果表明,在相同的静态泄漏和VDD=0.4V电源电压下,2指逆变环振荡器(IVSX2 RO)与参考LVT相比,22nm FDSOI技术的频率增益为23%;对应于最小能量点(MEP)。该解决方案与前向体偏置(FBB)相结合,在VDD=0.4V和FBB=1.6V时带来+253%的频率,并通过- 13%的最小能量延迟积(EDP)提高能源效率,同时在最小EDP时降低50mV VDD。
{"title":"Design Technology Co-Optimization in advanced FDSOI CMOS around the Minimum Energy Point: body biasing and within-cell VT-mixing","authors":"F. Andrieu, L. Pirro, R. Berthelon, J. Morgan, G. Cibrario, M. Wiatr, J. Hoentschel, M. Vinet","doi":"10.1109/VLSIT.2018.8510636","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510636","url":null,"abstract":"We propose an original Technology/Design Co-optimization of standard cells mixing devices of different threshold voltages (VT-flavors) within a cell. It is successfully applied with nMOS Low-VT (LVT) and pMOS Super-Low-VT (SLVT) in Ultra-Low-Voltage (ULV) Fully Depleted Silicon-On-Insulator (FDSOI) LETI standard cells using diffusion breaks. It enables adjusting the VT of pMOS subject to SiGe-channel-induced Local Layout Effect (LLE); leading experimentally to a 23% frequency gain on 22nm FDSOI technology for a 2-finger inverter Ring Oscillator (IVSX2 RO) vs. reference LVT at the same static leakage and VDD=0.4V supply voltage; which corresponds to the Minimum Energy Point (MEP). This solution is combined with Forward Body Biasing (FBB), which brings +253% frequency at VDD=0.4V and FBB=1.6V and improves the energy efficiency with a −13% minimum Energy Delay Product (EDP) along with a 50mV VDD reduction at the minimum EDP.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"191 1","pages":"153-154"},"PeriodicalIF":0.0,"publicationDate":"2018-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77739269","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
GeSn p-FinFETs with Sub-10 nm Fin Width Realized on a 200 mm GeSnOI Substrate: Lowest SS of 63 mV/decade, Highest Gm,int of 900 µS/µm, and High-Field µeff of 275 cm2/V•s 在200 mm GeSnOI衬底上实现了小于10 nm翅片宽度的GeSn p- finfet:最低SS为63 mV/decade,最高Gm,int为900µS/µm,高场eff为275 cm2/V•S
Pub Date : 2018-06-01 DOI: 10.1109/VLSIT.2018.8510693
D. Lei, Kaizhen Han, K. Lee, Yi-Chiau Huang, Wei Wang, S. Yadav, Annie Kumar, Ying Wu, Huiquan Heliu, Shengqiang Xu, Yuye Kang, Yang Li, E. Kong, C. S. Tan, X. Gong
We report the first GeSn p-FinFETs with sub-10 nm fin width (WFin) enabled by the formation of the first 200 mm GeSn-on-insulator (GeSnOI) substrate and a self-limiting digital etch for accurate control of the fin dimension, achieving a fin with a top width of 5 nm. Owing to the excellent gate control using extremely scaled GeSn fin and the good GeSn fin quality maintained using a device fabrication process with low thermal budget, an SS of 63 mV/decade was achieved at channel length (LCH) of 50 nm, which is a record low for Ge-based p-FETs. Furthermore, record high Gm,int of 900 μS/µm (VDS of -0.5 V) and Gm,int/Ssat of 10.5 for GeSn p-FETs were achieved. A high high-field hole mobility µeff of 275 cm2/V•s (at inversion carrier density Ninv of 8×1012 cm-2) was also obtained.
我们报道了第一个GeSn p- finfet,其鳍宽低于10 nm (WFin),通过形成第一个200 mm的绝缘体上氮化镓(GeSnOI)衬底和自限数字蚀刻来精确控制鳍的尺寸,实现了鳍的顶部宽度为5 nm。由于使用极微缩的GeSn鳍片进行了出色的栅极控制,并且使用低热预算的器件制造工艺保持了良好的GeSn鳍片质量,在50 nm的通道长度(LCH)下实现了63 mV/decade的SS,这是基于ge的p- fet的最低记录。此外,GeSn p- fet的Gm、int为900 μS/µm (VDS为-0.5 V), Gm、int/Ssat为10.5。获得了275 cm2/V•s的高高场空穴迁移率(倒置载流子密度Ninv为8×1012 cm-2)。
{"title":"GeSn p-FinFETs with Sub-10 nm Fin Width Realized on a 200 mm GeSnOI Substrate: Lowest SS of 63 mV/decade, Highest Gm,int of 900 µS/µm, and High-Field µeff of 275 cm2/V•s","authors":"D. Lei, Kaizhen Han, K. Lee, Yi-Chiau Huang, Wei Wang, S. Yadav, Annie Kumar, Ying Wu, Huiquan Heliu, Shengqiang Xu, Yuye Kang, Yang Li, E. Kong, C. S. Tan, X. Gong","doi":"10.1109/VLSIT.2018.8510693","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510693","url":null,"abstract":"We report the first GeSn p-FinFETs with sub-10 nm fin width (W<inf>Fin</inf>) enabled by the formation of the first 200 mm GeSn-on-insulator (GeSnOI) substrate and a self-limiting digital etch for accurate control of the fin dimension, achieving a fin with a top width of 5 nm. Owing to the excellent gate control using extremely scaled GeSn fin and the good GeSn fin quality maintained using a device fabrication process with low thermal budget, an SS of 63 mV/decade was achieved at channel length (L<inf>CH</inf>) of 50 nm, which is a record low for Ge-based p-FETs. Furthermore, record high G<inf>m,int</inf> of 900 μS/µm (V<inf>DS</inf> of -0.5 V) and G<inf>m,int</inf>/S<inf>sat</inf> of 10.5 for GeSn p-FETs were achieved. A high high-field hole mobility µ<inf>eff</inf> of 275 cm<sup>2</sup>/V•s (at inversion carrier density N<inf>inv</inf> of 8×10<sup>12</sup> cm<sup>-2</sup>) was also obtained.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"46 1","pages":"197-198"},"PeriodicalIF":0.0,"publicationDate":"2018-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81000746","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Highly Manufacturable Low Power and High Performance 11LPP Platform Technology for Mobile and GPU Applications 高度可制造的低功耗和高性能11LPP平台技术,用于移动和GPU应用
Pub Date : 2018-06-01 DOI: 10.1109/VLSIT.2018.8510694
H. Kim, B.H. Choi, Y. Lee, J. Ahn, Y. Bang, Y.D. Lim, J. Do, J.H. Jung, T. Song, Y. Yasuda-Masuoka, K. Park, S. Kwon, J. Yoon
11nm bulk FinFET process employing 3rd generation 14nm FEOL and 10nm BEOL process has been successfully demonstrated with updated design rules for optimal design kit support with 6.75T library. Compared to 14nm 1st generation FinFET, device performance has been improved by 25% in ring oscillator AC frequency at same Iddq or 42% power reduction is achieved. Adopting already mature 14nm and 10nm process technology, we can setup and demonstrate fast yield ramp.
采用第3代14纳米FEOL和10纳米BEOL工艺的11纳米体FinFET工艺已成功演示,并更新了设计规则,以优化设计套件支持6.75T库。与14nm第一代FinFET相比,在相同Iddq的环形振荡器交流频率下,器件性能提高了25%,功耗降低了42%。采用已经成熟的14nm和10nm制程技术,我们可以建立和演示快速良率斜坡。
{"title":"Highly Manufacturable Low Power and High Performance 11LPP Platform Technology for Mobile and GPU Applications","authors":"H. Kim, B.H. Choi, Y. Lee, J. Ahn, Y. Bang, Y.D. Lim, J. Do, J.H. Jung, T. Song, Y. Yasuda-Masuoka, K. Park, S. Kwon, J. Yoon","doi":"10.1109/VLSIT.2018.8510694","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510694","url":null,"abstract":"11nm bulk FinFET process employing 3rd generation 14nm FEOL and 10nm BEOL process has been successfully demonstrated with updated design rules for optimal design kit support with 6.75T library. Compared to 14nm 1st generation FinFET, device performance has been improved by 25% in ring oscillator AC frequency at same Iddq or 42% power reduction is achieved. Adopting already mature 14nm and 10nm process technology, we can setup and demonstrate fast yield ramp.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"13 1","pages":"213-214"},"PeriodicalIF":0.0,"publicationDate":"2018-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85429872","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
True 7nm Platform Technology featuring Smallest FinFET and Smallest SRAM cell by EUV, Special Constructs and 3rd Generation Single Diffusion Break 真正的7nm平台技术,具有最小的FinFET和最小的SRAM单元,EUV,特殊结构和第三代单扩散中断
Pub Date : 2018-06-01 DOI: 10.1109/VLSIT.2018.8510682
W. Jeong, S. Maeda, H. Lee, Kw. Lee, T.J. Lee, D. Park, Bs Kim, J. Do, T. Fukai, DJ Kwon, KJ Nam, WJ Rim, Minsik Jang, H.T. Kim, YW Lee, Js Park, Ec Lee, DW Ha, C. Park, H. Cho, S.-M. Jung, H. Kang
7nm platform technology that takes full advantage of EUV lithography was developed, where EUV was straightforwardly used for single patterning of MOL and BEOL, not just as a means for cutting of SADP/SAQP. The combination of 27nm fin pitch (FP) and 54nm contacted poly pitch (CPP) as well as the high density SRAM cell size of 0.0262 um2 is the smallest in the reported FinFET platform. Further scaling is secured with special constructs and the 3rd generation single diffusion break. Full working of 256M bit SRAM and large-scale logic test chip was demonstrated with guaranteed reliability.
开发了充分利用EUV光刻技术的7nm平台技术,EUV可以直接用于MOL和BEOL的单一图片化,而不仅仅是作为切割SADP/SAQP的手段。27nm翅间距(FP)和54nm接触多间距(CPP)以及高密度SRAM单元尺寸为0.0262 um2的组合是所报道的FinFET平台中最小的。进一步的缩放是安全的特殊结构和第三代单一扩散打破。256M位SRAM和大规模逻辑测试芯片在保证可靠性的情况下完全工作。
{"title":"True 7nm Platform Technology featuring Smallest FinFET and Smallest SRAM cell by EUV, Special Constructs and 3rd Generation Single Diffusion Break","authors":"W. Jeong, S. Maeda, H. Lee, Kw. Lee, T.J. Lee, D. Park, Bs Kim, J. Do, T. Fukai, DJ Kwon, KJ Nam, WJ Rim, Minsik Jang, H.T. Kim, YW Lee, Js Park, Ec Lee, DW Ha, C. Park, H. Cho, S.-M. Jung, H. Kang","doi":"10.1109/VLSIT.2018.8510682","DOIUrl":"https://doi.org/10.1109/VLSIT.2018.8510682","url":null,"abstract":"7nm platform technology that takes full advantage of EUV lithography was developed, where EUV was straightforwardly used for single patterning of MOL and BEOL, not just as a means for cutting of SADP/SAQP. The combination of 27nm fin pitch (FP) and 54nm contacted poly pitch (CPP) as well as the high density SRAM cell size of 0.0262 um2 is the smallest in the reported FinFET platform. Further scaling is secured with special constructs and the 3rd generation single diffusion break. Full working of 256M bit SRAM and large-scale logic test chip was demonstrated with guaranteed reliability.","PeriodicalId":6561,"journal":{"name":"2018 IEEE Symposium on VLSI Technology","volume":"61 2 1","pages":"59-60"},"PeriodicalIF":0.0,"publicationDate":"2018-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77909877","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 26
期刊
2018 IEEE Symposium on VLSI Technology
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1